ELETRÔNICA DIGITAL

116
ELETRÔNICA DIGITAL Para você que está começando o estudo de circuitos em DIGITAIS usando simuladores congratulações. Este é o caminho. Quero lembrar que as introduções teóricas estão resumidas e que você pode encontrar mais na bibliografia citada abaixo. Cada aula tem uma ou mais experiência virtual usando o simulador MultiSIM e MicroCap Para que você compreenda melhor todos os itens sugerimos que instale no seu computador um dos dois softwares. As aulas são seqüenciais, não "pule" aulas. Para compreender a aula subseqüente é importante entender a anterior. Use o simulador, mas acima de tudo estude com afinco todas as aulas . Além do material aqui disponível procure adquirir um dos livros da bibliografia citada. Boa sorte !! Rômulo Oliveira Albuquerque Bibliografia: Elementos de Eletrônica Digital Capuano/Idoeta - Editora Érica - Circuitos Combinacionais - Aula 01 Variável Booleana - Funções Lógicas - Portas Lógicas Básicas Aula 02 Funções Lógicas - Portas Lógicas Básicas Aula 03 Portas Lógicas em Circuitos Integrados Aula 04 Função OU Exclusivo - Função Coincidência - Equivalência entre Portas Lógicas Aula 05 Aplicações de Circuitos Combinacionais - Somadores binários Aula 06 Aplicações de Circuitos Combinacionais - Comparador Binário Aula 07 Aplicações de Circuitos Combinacionais - Geração de Produtos Canônicos -MUX Aula 08 Aplicações de Circuitos Combinacionais - DEMUX Aula 09 FF RS Assíncrono - FF RS com Portas - FF RS com

Transcript of ELETRÔNICA DIGITAL

Page 1: ELETRÔNICA DIGITAL

ELETRÔNICA DIGITAL 

      Para você que está começando o estudo de circuitos em DIGITAIS  usando simuladores congratulações.  Este é o caminho. Quero lembrar que as introduções teóricas estão resumidas e que você pode encontrar mais na bibliografia citada abaixo. Cada aula tem uma ou mais experiência virtual usando o simulador  MultiSIM e  MicroCapPara que você compreenda melhor todos os itens sugerimos que instale no seu computador um dos dois softwares. As aulas são seqüenciais, não "pule" aulas. Para compreender  a aula subseqüente é importante entender a anterior.  Use o simulador, mas acima de tudo estude com afinco todas as aulas . Além do material aqui disponível procure adquirir um dos livros da bibliografia citada. Boa sorte !!  

    Rômulo Oliveira Albuquerque

Bibliografia: Elementos de Eletrônica Digital Capuano/Idoeta  - Editora Érica

- Circuitos Combinacionais -

Aula 01 Variável Booleana - Funções Lógicas - Portas Lógicas BásicasAula 02  Funções Lógicas - Portas Lógicas BásicasAula 03  Portas Lógicas em Circuitos Integrados Aula 04  Função OU Exclusivo - Função Coincidência - Equivalência entre Portas LógicasAula 05  Aplicações de Circuitos Combinacionais - Somadores bináriosAula 06  Aplicações de Circuitos Combinacionais - Comparador BinárioAula 07  Aplicações de Circuitos Combinacionais - Geração de Produtos Canônicos -MUXAula 08  Aplicações de Circuitos Combinacionais - DEMUXAula 09  FF RS Assíncrono - FF RS com Portas - FF RS com ClockAula 10  FLIP FLOP JK - FLIP FLOP  D -   FLIP FLOP  TAula 11  Contadores Crescente Assíncronos Aula 12  Contador de 0 a 5 - Contador de 0 a 9 - Contador de 00 a 59Aula 13  Registradores de deslocamento - 74194 Simulador  Simulador de circuitos integrados digitais em matriz de pontosTecnologia TTL   Algumas característica e comparações

Page 2: ELETRÔNICA DIGITAL

 Aula02     Índice de Aulas  Aula02

ELETRÔNICA DIGITAL - CIRCUITOS COMBINACIONAIS AULA01:  Funções Booleanas Básicas - Portas Lógicas Básicas BIBLIOGRAFIA: Elementos de Eletrônica Digital - Capuano/Idoeta - Editora Érica

1.  Conceito de Variável Booleana

    Chamamos de variável Booleana a uma variável que pode assumir só duas condições (dois valores).  Um exemplo de variável Booleana é uma chave, que só pode estar aberta  ou fechada, não existe outra condição. Outro exemplo é uma lâmpada, que só pode estar acesa ou apagada.Em eletrônica digital costumamos associar a uma variável Booleana os símbolos “ 0 “ e “1 “aos estados que a variavel pode assumir. Desta forma lâmpada acesa poderia ser “1 “ e conseqüentemente apagada  “ 0 “, mas poderia ser o contrario depende da convenção adotada.      Uma variável Booleana pode ser dependente de outras variáveis Booleanas. Por exemplo em resposta à condição de uma chave (variável A) a qual pode estar aberta ou fechada podemos ter a condição de uma lâmpada (variável L) acesa ou apagada. Na Fig01 podemos convencionar que chave aberta A=0, a chave fechada portanto será A=1 da mesma forma teremos  para lâmpada apagada L=0 e acesa L=1. Para caracterizar o comportamento lógico estabelecemos o que chamamos de tabela verdade do circuito.

Expressão Booleana:  L=A

 

A L

Aberta(0)Apagada(0

)

Fechada(1)

Acesa(1)

AL

00

11

( a ) ( b ) 

Figura 01:  ( a ) Circuito com chaves   ( b ) tabela verdade (TV)

Page 3: ELETRÔNICA DIGITAL

2. Elementos de Álgebra Booleana                                                     

    Em álgebra Booleana assim  como na álgebra comum, as letras são usadas para representar as  variáveis. Na Álgebra Booleana usamos letras maiúsculas para representar uma variável Booleana. Uma variável Booleana só pode ter duas condições às quais associaremos os símbolos  "0"ou "1".  O símbolo = tem usualmente o significado de  "'é equivalente", isto é,  se o lado direito da  equação é 0, então o lado esquerdo também será 0.  Desta forma a declaração:

 Y=Asignifica que Y é 1 se A é 1, Y é 0 se A for 0.

Na figura 01 usamos uma chave,  que representa a variável A se relacionando com  a variável L (lâmpada) pela expressão:L=A (observe isso na tabela verdade)

O símbolo Booleano com uma barra acima da variável  significa a negação ou o complemento da variável. 

Desta forma     é lido como não A, portanto se A=1      se A=0  

 Desta forma   =A representa não-não

3. Funções Booleanas e Portas Lógicas

    Uma função Booleana relaciona duas ou  mais variáveis Booleanas entre si através de uma expressão chamada  de Expressão Booleana. Para se implementar na pratica uma função booleana são usadas portas logicas encontradas em C.Is.

3.1. Função E (AND) - Porta E (AND)                                                                    

     Antigamente os circuitos lógicos eram feitos (implementados) com relés, hoje usamos portas lógicas em CI (Circuito Integrado) para realizar uma determinada lógica (determinada função). A seguir mostraremos as principais funções lógicas e as portas lógicas que realizam a lógica da função. As  duas chaves chaves, A e B estão  ligadas em série para ligar a lâmpada L. A lógica existente é:

 

Page 4: ELETRÔNICA DIGITAL

   

Dizemos que esta é uma lógica E (AND em inglês). A porta lógica correspondente é chamada porta E (AND ) e cujo símbolo está representado na Fig03a. A Expressão Booleana é: L = A.B       (lê-se A e B , mas por analogia com a operação multiplicação dizemos também A vezes B).

A B L

0 (aberta

)

0 (aberta

)

0 (apagad

a)

0 (aberta

)

1 ( fecha

da)

0 (apagad

a)

1 ( fecha

da)

0 (aberta

)

0 (apagad

a)

1 ( fecha

da)

1 ( fecha

da)

1 ( acesa)

( a ) ( b ) 

Figura 02: ( a ) circuito com chaves para lógica E ( b ) TV

 A seguir  os  símbolos da porta E (AND) e a sua TV

A B L

0 0 0

0 1 0

1 0 0

1 1 1

( a ) ( b )Figura 03: ( a ) Porta E, símbolo ( b ) TV

3.2. Função OU (OR) - Porta OU (OR )                                                                                                                      A função OU (OR ) tem a seguinte lógica se pensarmos em termos de

Page 5: ELETRÔNICA DIGITAL

chaves (não esqueça chave aberta, “ 0 “, fechada,“ 1 “ ). A lógica existente é :

    Expressão Booleana: L = A+B         (Lemos A ou B, mas por analogia com a operação soma dizemos A mais B). A figura04b mostra o símbolo e a figura04c a tabela verdade.

 

A B L0

0

0

0

1

1

1

0

1

1

1

1

 

 

( a ) ( b ) ( c )Figura 04: Função OU  ( a )  circuito com chaves ( b )  Porta OU símbolo ( c

)  Tabela verdade 

3.3. Função Inversão Não (NOT) - Porta  Inversora                                                                                                

      A função Não (NOT) ou função Inversora dá uma saída que é o complemento (inverso) da entrada. 

Expressão Booleana :

Page 6: ELETRÔNICA DIGITAL

A 0 1

( a ) ( b ) ( c )Figura 05: Função inversora - Circuito com chave ( a ) - Porta inversora ( b ) - Tabela verdade ( c )

3.4. Mais Propriedades da Álgebra Booleana

A partir do especificado acima  (função E, OU e Inversora) resultam algumas relações importantes:

A.A=A         A+A=AA. =0 A+ =1 A.1=A A+1=1A.0=0 A+0=A0.0=0 0+0=01.1=1 0+1=10.1=0 1+1=1

Além disso podemos usar algumas propriedades da álgebra ordinária.

Comutativa Distributiva Associativa    A.B = B.A          A.(B+C)=A.B+A.C      (A.B).C = A.(B.C)

A+B = B+A         (A+B)+C=A+(B+C)

3.5.  Função NE (NAND) - Porta NE (NAND)                                                                                         

    A lógica desta função corresponde à negação da função E (AND ). A Fig06 dá o símbolo da porta lógica e a sua  Tabela Verdade . 

Expressão Booleana :

Page 7: ELETRÔNICA DIGITAL

( a ) ( b )Figura 6: ( a ) Porta NE - Símbolo  ( b ) Tabela verdade

3.6. Função NOU (NOR) -  Porta NOU (NOR)                                                                                     

    A lógica desta função corresponde à negação da função OU ( NOR ). A Fig07 dá o símbolo da porta lógica e a sua  tabela verdade . 

Expressão  Booleana:  

A B L

0 0 1

0 1 0

1 0 0

1 1 0

( a ) ( b )Figura 07: Porta NOU - ( a ) Símbolo   ( b ) Tabela verdade 

4. Teorema de De Morgan

    O Teorema de De Morgan é uma ferramenta poderosa usada para simplificar circuitos lógicos e tem como objetivo transformar um produto em uma operação de soma e vice-versa.

 e

 A   B   A.B       

0 0 0 1 1+1 = 1

0 1 0 1 1+0= 1

1 0 0 1 0+1= 1

1 1 1 0 0+0= 0

Verifique a outra igualdade você preenchendo a tabela a seguir

A B A+B

Page 8: ELETRÔNICA DIGITAL

0 0      

0 1      

1 0      

1 1      

.

5. Experiência01 - Portas Lógicas com Chaves

5.1. Abra o  arquivo ExpTDC01a  (Multisim) ou  Exp01.CIR  (MicroCap). Inicie a simulação, no caso do Multisim ligue a chave de simulação. Para o MicroCap execute uma analise  Dynamic DC.

5.2. Repita o mesmo para  ExpTDC01b

Atenção !! Para mudar a posição da chave teclar no teclado a chave correspondente à letra da chave, para o Multisim.  Para o MicroCap clique na chave.

 

ExpTDC01a: Monte o circuito em seguida preencha a sua TV

Chave A Chave B Lâmpada L  

Aberta(0)  Aberta (0)  

 

Aberta (0)

Fechada(1)

 

Fechada(1)

Aberta (0)

 

Fechada(1)

Fechada(1)

 

( a ) ( b )Fig08: ( a ) Função E executada com  chaves ( b ) Tabela verdade

 

ExpTDC01b: Monte o circuito em seguida preencha a sua TV

Chave A   Chave B Lâmpada L

Aberta (0) Aberta (0)  

Aberta (0) Fechada (1)  

Fechada (1) Aberta (0)  

Fechada (1) Fechada (1)   

 

Page 9: ELETRÔNICA DIGITAL

( a ) ( b )Fig09: ( a ) Função OU executada com  chaves ( b ) Tabela verdade

 

ExpTDC01c: Monte o circuito em seguida preencha a sua TV

Chave A    L  

Aberta (0)           

Fechada (1)     

( a ) ( b ) Fig10: ( a ) Função NÃO  executada com  chave  ( b ) Tabela verdade

5.1. Conclusões

6.  Experiência02 - Portas Lógicas Básicas

6.1. Abra o arquivo ExpTDC02a (Multisim) Ou  Exp02.CIR (MicroCap) . Inicie a simulação, no caso do Multisim ligue a chave de simulação. Para o MicroCap execute uma analise  Dynamic DC.. Obs: para sinalizar o estado lógico das entradas e da saída existem lâmpadas (Probes) sinalizadoras.

6.2. Repita o mesmo para   ExpTDC02b,  ExpTDC02c, ExpTDC02d e ExpTDC02e  (Multisim)  ou Exp02b.CIR (MicroCap)

   

ExpTDC02a: Monte o circuito em seguida preencha a sua TV

Page 10: ELETRÔNICA DIGITAL

A B L

0 0  

0 1  

1 0  

1 1  

ExpTDC02b: Monte o circuito em seguida preencha a sua TV

A B L

 0 0  

 0 1  

 1 0  

 1 1  

ExpTDC02c: Monte o circuito em seguida preencha a sua TV

Page 11: ELETRÔNICA DIGITAL

A B L

0 0  

0 1  

1 0  

1 1  

ExpTDC02d: Monte o circuito em seguida preencha a sua TV

 

A B L

0 0  

0 1  

1 0  

1 1   

 

 

ExpTDC02e: Monte o circuito em seguida preencha a sua TV

Page 12: ELETRÔNICA DIGITAL

A L

0  

1  

6.3. Conclusões:      

 Aula02     Índice de Aulas  Aula02

 

Page 13: ELETRÔNICA DIGITAL

  Aula01     Índice de Aulas  Aula03

ELETRÔNICA DIGITAL - CIRCUITOS COMBINACIONAIS   AULA02: Funções Lógicas - Portas Lógica - Obtendo a Expressão Lógica  BIBLIOGRAFIA: Elementos de Eletrônica Digital - Capuano/Idoeta - Editora Érica

1. Circuito Lógico Combinacional - Tabela Verdade

Correspondem à associação de duas ou mais portas lógicas básicas, executando uma determinada função. Consideremos um circuito lógico com três variáveis de entrada (ABC) e uma de saída (Y), cuja tabela verdade (TV) é conhecida.

Exemplo1:

Linha

A B C Y

1x

x0xxx

x0xxx

x0xxx

x0xx

2 0 0 1 0

3 0 1 0 0

4 0 1 1 1

5 1 0 0 0

6 1 0 1 1

7 1 1 0 0

8 1 1 1 1

Figura 01: Circuito lógico e Tabela Verdade

Observe que a tabela verdade mostra o comportamento do circuito para todas as  8 combinações possíveis  das  3 variáveis de entrada. Assim é que na primeira linha temos A=0 B=0 e C=0 a saída responde com Y=0. Na quarta linha temos A=0 B=1 e C=1  para as entradas e Y=1 para a saída e assim por diante.Como implementar (construir) com portas lógicas esse circuito? Qual o circuito? Quantas portas serão necessárias? Quantos Circuito Integrados serão usados? 

Para  construir um circuito usaremos portas lógicas as quais se encontram em CI's. Não existe um único circuito que tenha a mesma TV (portanto que execute a mesma função). Um dos objetivos de se estudar circuito digitais é podermos construir um sistema com o menor custo possível. Para o exemplo acima, por exemplo uma possível implementação do mesmo seria o circuito a seguir:

Page 14: ELETRÔNICA DIGITAL

Figura 02: Circuito Lógico cuja TV é dada na figura1

Arquivo MicroCap

Atenção!! Observe que serão necessários dois CI's (7432 e 7409), visto que um CI contem somente um tipo de porta lógica. 

2. Obtendo a Expressão Lógica a Partir da Tabela Verdade - Soma de Produtos

O circuito da figura02 tem a seguinte expressão lógica:

Y=(A+B).C                Essa é a expressão simplificada (mínima).

A expressão máxima (soma de produtos) é obtida através de uma regra bem simples:Onde a função for "1", podemos escrever um produto das variáveis de tal forma que esse produto deva ser igual a "1". Por exemplo a linha 4      vale "1", portanto para essa linha escrevemos:

Isto é, para que  o produto seja igual a 1 onde a variável for 0 deveremos complementar a variável.

Na linha 6 da mesma forma:

E na linha 8:

A.B.C

Como a função deve valer 1 para a linha 4 OU linha 6 OU linha 8 então fazemos uma operação OU com todos os produtos:

Caso fossemos implementar o circuito a partir dessa expressão resultaria:

Page 15: ELETRÔNICA DIGITAL

Figura 03: Outra possibilidade para implementar o circuito lógico cuja TV é dada na figura1

Arquivo MicroCap8

Atenção!! Observe que neste caso serão necessários 3 CIs diferentesA  expressão acima é a máxima e pode ser minimizada usando algumas das propriedades vistas anteriormente. Por exemplo podemos por em evidência C.A nas duas últimas parcelas: 

O que resulta outro circuito.A expressão mínima  (circuito da figura2) pode ser obtida usando uma  técnica  que usa  um mapeamento chamado de Mapa de Karnaugh

3. Obtendo a Expressão do Circuito

Podemos ter um problema inverso, isto é,  o circuito pode ser especificado e precisamos obter a TV e a expressão Booleana (Expressão lógica). Exemplo2:Seja o circuito a seguir:

Figura 04: Circuito do exemplo2

Para obter a  expressão da saída em função das entradas (expressão lógica ou Booleana), a partir das entradas devemos escrever a expressão da saída de  cada porta  lógica básica encontrada até chegarmos na saída. No exemplo, na

Page 16: ELETRÔNICA DIGITAL

saída da porta E de duas entradas temos A.B = X. Na saída da porta OU temos A+C =Z.  X e Z são as entradas da porta NOU, cuja saída é a saída do circuito. 

Portanto  ou 

Figura 05: Circuito da figura4 mostrando as expressões parciais e a expressão da saída.

Para obter a TV deveremos  obter a saída (Y) para todas  as combinações de entrada. Por exemplo se  A=B=C=0 qual será o valor da saída ?  Veja figura a seguir para compreender isso:

O que acontece se A=B=C=1 ? Veja figura a seguir para compreender isso:

Figura 06:  Circuito  da figura4 mostrando as saídas parciais e a saída final para uma dada combinação de entrada.

 A primeira e a última linha da TV você já tem. Complete as outras !!

xxCxx xxBxx xxAxx xxYxx

Page 17: ELETRÔNICA DIGITAL

0 0 0 1

0 0 1 xx

0 1 0 xx

0 1 1 xx

1 0 0 xx

1 0 1 xx

1 1 0 xx

1 1 1 0

Clique aqui para ver a resposta   Faça o download do arquivo de simulação (MultiSIM) do circuito.

Faça Download do arquivo MicroCap8

Exemplo3: Dada a expressão Booleana obtenha o circuito e a TV.

Primeiramente vamos obter o circuito. 

    Seja  

e então

Precisamos de duas portas E, duas inversoras e uma OU, portanto 3 CI's diferentes.

Resultando o circuito:

Figura 07: Implementação do circuito do Exemplo3

O processo para obter a TV já foi visto colocaremos apenas as respostas.

B A Y

xx0xx xx0xx xx0xx

0 1 1

Page 18: ELETRÔNICA DIGITAL

1 0 1

1 1 0

 

4. Exercícios Propostos

1) Dada a função 

.Pede-se: a) Obter a sua TV  b) Implementa-la usando portas lógicas.

2) Idem 1 para a função            S=     + B +  

3)                                 

4) Dada a expressão Booleana S=(A+B).C.(B+D) obter a o circuito.

Obs: Estes exercícios estão no livro Elementos de Eletrônica Digital - Capuano/Idoeta - Editora Érica5) Dada a TV de um circuito obter a expressão não minimizada (soma de produtos). Em seguida implemente a função com quaisquer porta lógica.

xxCxx xxBxx xxAxx xxYxx

0 0 0 1

0 0 1 1

0 1 0 1

0 1 1 0

1 0 0 0

1 0 1 0

1 1 0 0

1 1 1 1

 

  Aula01     Índice de Aulas  Aula03

 

Page 19: ELETRÔNICA DIGITAL

    Aula02     Índice de Aulas  Aula04

ELETRÔNICA DIGITAL - CIRCUITOS COMBINACIONAIS  AULA03: Portas Lógicas em Circuitos Integrados   BIBLIOGRAFIA: Elementos de Eletrônica Digital - Capuano/Idoeta - Editora Érica

1.  Portas Lógicas em Circuitos Integrados

  Na prática as portas lógicas são circuitos com transistores, diodos e resistências, não importando por enquanto como são esses circuitos. Esses circuitos são implementados em circuitos integrados usando basicamente a tecnologia TTL e CMOS (a maioria dos circuitos atuais são CMOS). A seguir apresentaremos alguns exemplos de CI que contém portas lógicas.  

1.1. CIRCUITOS INTEGRADOS TTL

    CI : 7400 /7410/7420/7404

  O CI 7400: Este CI cujo código é 7400 tem um encapsulamento DUAL IN LINE de 14 pinos.Tem 4 portas NAND de duas entradas (as entradas são sempre especificadas pelas letras A, B, C, D, E , etc. Enquanto as saídas são especificadas por Y. Assim é que a primeira porta tem as entradas 1A e 1B e a saída 1Y. O CI necessita de tensão de alimentação entre os pinos 14 (VCC) e 7 (GND). Outras portas: Porta E: 7410 (3 NAND de 3 Entradas ),  7420( 2 NAND de 4 entradas )

Porta Inversora: 7404( HEX Inverter).É importante lembrar que a tensão de alimentação para  a família TTL deve ser rigorosamente igual a 5V.

As figuras a seguir  mostram  a pinagem de alguns destes CI's.

Page 20: ELETRÔNICA DIGITAL

 

 

1.2. CIRCUITOS INTEGRADOS CMOS 

Page 21: ELETRÔNICA DIGITAL

 Os circuitos integrados CMOS permitem usar uma faixa de tensão de alimentação maior, de 3V a 15V, e em um CI ocupam uma área menor do que o correspondente usando tecnologia TTL, além disso consomem menos potencia. A seguir  alguns  exemplos.

CI : 4011 /4000 /4009 

4011 (Quad 2-In NAND): tem quatro portas NAND de duas entradas .entradas: I1 e I2saída: O1 , Vss=GND

4000 (Dual 3-In NOR and INVERTER).

4009 (Hex INVERTER)

 

  Aula02     Índice de Aulas  Aula04

Page 22: ELETRÔNICA DIGITAL

     Aula03     Índice de Aulas  Aula05

ELETRÔNICA DIGITAL - CIRCUITOS COMBINACIONAIS Aula04: Função OU Exclusivo - Função Coincidência - Equivalência entre Portas Lógicas BIBLIOGRAFIA: Elementos de Eletrônica Digital - Capuano/Idoeta - Editora Érica

Equivalencia Entre Portas Logicas

1. Função OU Exclusivo - Porta OU Exclusivo 

Essa função e a porta lógica correspondente formam juntamente com a coincidência outros circuitos básicos de sistemas digitais.

Tabela Verdade Símbolo Expressão Lógica

A B Y

0 0 0

0 1 1

1 0 1

1 1 0

Arquivo MicroCap8

( a ) ( b )Fig01: Função OU EXCLUSIVO ( a ) Tabela Verdade   ( b ) Símbolo da Porta OU EXCLUSIVO   e   Expressão Lógica 

Função COINCIDÊNCIA - Porta COINCIDÊNCIA 

Tabela Verdade Símbolo Expressão Lógica

A B Y

0 0 1

0 1 0

1 0 0

1 1 1

Arquivo MicroCap8 

( a ) ( b ) ( c )

Fig02: Função COINCIDÊNCIA ( a )  Tabela Verdade  ( b ) Símbolo da Porta COINCIDÊNCIA ( c )  Expressão Lógica

Observar que a relação entre a função OU Exclusivo e a  COINCIDÊNCIA é: 

2. Equivalência Entre Blocos Lógicos                                                       Topo

Page 23: ELETRÔNICA DIGITAL

    Quando  desejamos construir na prática um circuito lógico deveremos minimizar ao máximo possível os custos, por exemplo diminuindo ao máximo o número de CI's usados. Por outro lado muitas vezes não dispomos de uma determinada porta lógica e mas podemos usar outra porta fazendo a equivalência. 

2.1. Obtendo um Inversor a Partir de NE 

Para obter uma porta inversora usando porta NE  temos as duas possibilidades:

=

( a )

=

( b )Fig03: Equivalência entre portas - Inversor obtido a partir de NE

 

 

2.2. Obtendo um Inversor a Partir de  NOU

Para obter uma porta inversora usando portas NOU temos as duas possibilidades:

=

( a )

=

                     ( b )

Page 24: ELETRÔNICA DIGITAL

Fig04: Equivalência entre portas - Inversor obtido a partir de NOU

 

Clique aqui para obter o arquivo   MicroCap8

2.3. Obtendo NOU e OU  Partir de NE, E e Inversores                          Topo

Essas equivalências são obtidas considerando o Teorema de De Morgan:

 e 

=

( a )

=

( b )Fig05: Equivalência entre portas ( a ) obtendo NOU e ( b ) OU a partir de Inversor e NE e E

2.4. Obtendo NE e E  Partir de OU, NOU e Inversores

=

( a )

Page 25: ELETRÔNICA DIGITAL

=

( b )Fig06: Equivalência entre portas ( a )  obtendo NE  e ( b )  E a partir de

Inversor NOU e OU 

 

Clique aqui para obter o arquivo   MicroCap8

 

3. Experiência0 3: Equivalência Entre Portas - Obtendo o Inversor a Partir de NE ou NOU

3.1. Abra o arquivo ExpTDC03a  e  identifique os circuitos da  figura6.  Ative o circuito em seguida verifique a equivalência entre os circuitos levantando a TV dos três  circuitos.      

Arquivo MicroCap                 

Fig07: Circuitos para experiência03

 

Page 26: ELETRÔNICA DIGITAL

Inversor

   A       L  

0  

1  

Inversor porta NE caso a

A  L

0  

1  

Inversor porta NE caso b

A L

0  

1  

Inversor

   A   

   L  

0  

1  

xInversor porta NOU caso a

A  L

0  

1  

Inversor porta NOU caso b

A L

0  

1  

3.2. Conclusões:

4. Experiência04 :Equivalência de Portas - Obtendo NOU e OU  Partir de NE, E e Inversores

4.1. Abra o arquivo ExpTDC03b  (Multisim) ou    ExpTD04.CIR   (MicroCap) e  identifique os circuitos  da  figura  a seguir.  Ative o circuito em seguida verifique a equivalência entre os circuitos levantando a TV dos quatro circuitos.

 

 

Page 27: ELETRÔNICA DIGITAL

Fig08: Circuitos para experiência04                                                                         Topo

xxPorta NOUxx

A B L

0 0  

0 1  

1 0  

1 1  

Circuito Equivalente

A B L

0 0  

0 1  

1 0  

1 1  

xxPorta OUxx

A B L

0 0  

0 1  

1 0  

1 1  

Circuito Equivalente

A B  

0 0  

0 1  

1 0  

1 1  

4.2.  Conclusões:

5. Experiência05:  Equivalência Entre  Portas - Obtendo NE e E  Partir de OU, NOU e Inversores

5.1. Abra o arquivo ExpTDC03c  (Multisim)  ou   ExpTD05.CIR  (MicroCap)  identifique os circuitos da  figura a seguir. Ative o circuito em seguida verifique a equivalência entre os circuitos  levantando a TV dos quatro circuitos.

 

Page 28: ELETRÔNICA DIGITAL

Fig09: Circuitos para experiência05

Porta NE

A B L

0 0  

0 1  

1 0  

1 1  

Circuito Equivalente

A B L

0 0  

0 1  

1 0  

1 1  

Porta E

A B L

0 0  

0 1  

1 0  

1 1  

Circuito Equivalente

A B  

0 0  

0 1  

1 0  

1 1  

5.2.  Conclusões:

     Aula03     Índice de Aulas  Aula05

 

Page 29: ELETRÔNICA DIGITAL

    Aula04     Índice de Aulas  Aula06

ELETRÔNICA DIGITAL - CIRCUITOS COMBINACIONAIS  Aula05: Aplicações de Circuitos CombinacionaisBIBLIOGRAFIA: Elementos de Eletrônica Digital - Capuano/Idoeta - Editora Érica

1. Somador Binário

O coração de um computador digital é a sua unidade lógica e aritmética (ULA) que realizará na prática duas operações matemáticas: Soma e Subtração (a divisão e a multiplicação são derivadas dessas operações).  Operações lógicas tais como comparações, operações OU, E e outras também são realizadas na ULA.   O elemento básico das duas é o somador.Para somar dois números A e B de 1 bit temos  as combinações possíveis. 

1.1. O Meio Somador

 A   +   B         Soma(S)           Transporte de saída (Vai Um) (TS)

0 + 0 0 0

0 + 1 1 0

1 + 0 1 0

1 + 1 0 1

O bloco lógico que efetua essa operação pode então ser construído considerando a tabela verdade acima:

A seguir o circuito do meio somador implementado com portas lógicas.

Page 30: ELETRÔNICA DIGITAL

Fig01: Circuito do Meio Somador implementado com portas lógicas Arquivo MicroCap

Esse circuito é chamado de Meio Somador (Half Adder) pois não permite a soma de  dois números de mais de um bit pois não tem transporte de entrada (vai um da coluna anterior).

Faça download da macro    meio_somador_macro   e instale esse arquivo na pasta Library  que se encontra na     MC8DEMO   no disco C.

Fig02: Bloco meio somador 

1.2. O Somador Completo   arquivo MEIO_SOMADOR_BLOCO

Para somar dois números de mais de um bit (A=0101=5   e B = 0011 =3) usamos a tabela a seguir, observe que temos  3 entradas agora (os números A e B e o transporte da  coluna anterior) e duas saídas ( a soma e o transporte de saida):

  A     B     TE     S     TS  

0 0 0 0 0

0 0 1 1 0

0 1 0 1 0

0 1 1 0 1

1 0 0 1 0

1 0 1 0 1

1 1 0 0 1

1 1 1 1 1

Fig03: tabela Verdade  do Somador Completo

Desta forma a soma de dois números binários de mais de um bit como por exemplo A=A3A2A1A0=0101   e  B = B3B2B1B0= 0011 

 

Page 31: ELETRÔNICA DIGITAL

 

Por exemplo para somar os  bits  A1 (0) +B1(1)  temos como entradas além dos bits, o transporte de entrada  resultado da soma da coluna anterior. Desta forma o bloco chamado de Somador Completo (Full Adder) precisa de três entradas e duas saídas.

A partir da TV  da figura 3 obtemos as equações lógicas das saídas TS e S.

e o circuito lógico

Fig04: Bloco somador Completo 

2. Circuito Somador Completo com Portas 

A seguir  o circuito do somador completo

Page 32: ELETRÔNICA DIGITAL

Fig05: Circuito do Somador Completo implementado com portas lógicas Arquivo MicroCap

Usamos um bloco somador completo para somar cada parcela.  Desta forma para construir um somador de 4 bits precisaremos de 4 blocos somadores completos (observe que para somar os bits A0 e B0 bastaria usar um meio somador visto que não existe transporte de entrada para esse caso). A figura6 a seguir mostra o diagrama de blocos de um circuito  para somar números de 4 bits, exemplificando.

Faça download da macro   SOMADOR_COMPLETO   e salve  esse arquivo na pasta Library  que se encontra na     MC8DEMO   no disco C, em seguida faça download do arquivo Somador Completo Bloco

 

Page 33: ELETRÔNICA DIGITAL

Fig06: Circuito somador  binário de 4 bits.

3. Experiência06: Somadores Binários - Meio Somador

3.1.  Abra o arquivo ExpTDC04a  e  identifique o circuito a seguir. Ative o circuito, em seguida verifique a sua operação preenchendo  a sua TV.

 xxAxx   + xx Bxx         Soma(S)           Transporte de saída (Vai Um) (TS)

0 + 0 s s

0 + 1 s s

1 + 0 s s

Page 34: ELETRÔNICA DIGITAL

1 + 1 s s

3.2.  Conclusões

4. Experiência7: Somadores Binários - Somador Completo

4.1.  Abra o arquivo ExpTDC04b e  identifique o circuito  a seguir. Ative o circuito, em seguida verifique a sua operação preenchendo  a sua TV.

A     B    TE    S    TS   

0 0 0 a a

0 0 1 a a

0 1 0 a a

0 1 1 a aa

1 0 0 a a

1 0 1 a a

1 1 0 a a

1 1 1 a a

4.2.  Conclusões

5.Circuito Somador Binário para Soma de Dois Números de 4 Bits

A seguir, na figura7 um circuito completo de um somador binário que efetua a soma de dois números de 4 Bits. 

Page 35: ELETRÔNICA DIGITAL

Obs:  o primeiro número DCBA é obtido portanto teclando as teclas D, C, B ou A.  O  segundo  é obtido usando as teclas 4, 3, 2 ou 1

 

  Faça download do arquivo   SOMADOR EM BLOCOS DE   4 BITS     (MicroCap)

Fig07: Circuito somador Binário de 4 Bits

Page 36: ELETRÔNICA DIGITAL

6. Experiência08: Somador Binário de 4 Bits

6.1. Abra o arquivo ExpTDC04c   ou o A rquivo   MicroCap   e  identifique o circuito da  figura7. Ative o circuito, em seguida verifique a sua operação preenchendo  a tabela a seguir para algumas entradas (DCBA e 4321).

Entradas Indicação

DCBA 4321 Display(numero) Saídas dos Somadores

 D   C   B   A   4   3   2   1           

0 0 1 1 0 0 1 0          

0 1 0 1 0 1 0 1          

6.2.  Conclusões

 

     Aula04     Índice de Aulas  Aula06

 

Page 37: ELETRÔNICA DIGITAL

     Aula05     Índice de Aulas  Aula07

ELETRÔNICA DIGITAL - CIRCUITOS COMBINACIONAIS Aula06:Aplicações de Circuitos CombinacionaisBIBLIOGRAFIA: Elementos de Eletrônica Digital - Capuano/Idoeta - Editora Érica

1. Comparador Binário

É um circuito que compara dois números binários tomando a decisão.

Fig01: Comparador binário de 1bit

A figura1 mostra o caso mais simples, mas é possível comparadores de mais de um bit.

Page 38: ELETRÔNICA DIGITAL

Fig02: Circuito de um comparador de 1 bit  

Para mais bits, existem CIs específicos como por exemplo o 7485 que é um comparador de 4 bits (A3A2A1A0 e B3B2B1B0).  A figura a seguir mostra  o CI 7485, comparador de 4 bits.

Fig03: Comparador de 4 bits com 7485   Arquivo MicroCap

2.Experiência09: Comparador de 1 Bit com portas2.1.  Abra o arquivo ExpTDC05  (Multism) e  identifique os circuitos da  figura2. Ative o circuito em seguida verifique o seu funcionamento levantando a TV. 

Tabela I : Comparador de 2 bits com portas

Page 39: ELETRÔNICA DIGITAL

xxAxx xxBxx xxS1xx xxS2xx xxS3xx

0 0      

0 1      

1 0      

1 1      

2.2. Conclusões:

3. Conversão de Códigos Binários 

Os códigos mais comuns são o BCD(8421), octal, hexadecimal e o binário (ver bibliografia para maiores detalhes). Os computadores e circuitos lógicos em geral manipulam bits na forma de 1's e 0's, os seres humanos entendem as informações na base 10, desta forma os circuitos codificadores e decodificadores são necessários para que possam traduzir de maquina para ser humano e vice versa as informações resultantes de entradas e saídas.  . A seguir o diagrama de blocos básico de uma calculadora que mostra a necessidade de usarmos os codificadores  e decodificadores.

Fig04: Diagrama de blocos de uma calculadora

De uma forma geral todo circuito digital tem um diagrama semelhante ao da figura04.

A seguir daremos alguns exemplos de codificadores e decodificadores.

4. Codificador de Decimal para BCD

Page 40: ELETRÔNICA DIGITAL

O CI 74147 tem como entrada um bit que representa um numero decimal de 1 a 9 e como saída um numero representado em BCD (Binary Coded Decimal -Decimal  Codificado em  Binário).

Entradas Saídas

1 2 3 4 5 6 7 8 9 D C B A

H H H H H H H H H H H H H

X X X X X X X H L L H H L

X X X X X X X L H L H H H

X X X X X X L H H H L L L

X X X X X L H H H H L L H

X X X X L H H H H H L H L

X X X L H H H H H H L H H

X X L H H H H H H H H L L

X L H H H H H H H H H L H

L H H H H H H H H H H H L

( a ) ( b )Fig05: ( a ) CI74147 codificador de prioridade de decimal para BCD ( b )

TV 

Obs: H (Hight)=1 e L (low)=0

5.Experiência10: Codificador de Prioridade de Decimal para BCD5.1.  Abra o arquivo ExpTDC06  e  identifique o circuito da  figura 6 a seguir. Ative o circuito em seguida verifique o seu funcionamento para cada situação da TV. 

Page 41: ELETRÔNICA DIGITAL

Fig06:  CI74147 codificador de prioridade de decimal para BCD 

Tabela II

Entradas Display - Numero 

xx1xx xx2xx xx3xx xx4xx xx5xx xx6xx xx7xx xx8xx xx9xx  

H H H H H H H H H  

X X X X X X X H L  

X X X X X X X L H  

X X X X X X L H H  

X X X X X L H H H  

X X X X L H H H H  

X X X L H H H H H  

X X L H H H H H H  

X L H H H H H H H  

L H H H H H H H H  

Observe a necessidade  de inverter as saídas para obter o valor correto.

5.2. Conclusões

6. Decodificador de BCD para Decimal 

Esse circuito faz o contrario do anterior, isto é, para uma dada entrada em BCD somente uma das saídas será ativada.

Page 42: ELETRÔNICA DIGITAL

Linha NºEntradas

BCDSaída Decimal

     D   C  B  A   0   1   2   3   4   5   6   7   8   9 

Linha1 0 L L L L L H H H H H H H H H

Linha2 1 L L L H H L H H H H H H H H

Linha3 2 L L H L H H L H H H H H H H

Linha4 3 L L H H H H H L H H H H H H

Linha5 4 L H L L H H H H L H H H H H

Linha6 5 L H L H H H H H H L H H H H

Linha7 6 L H H L H H H H H H L H H H

Linha8 7 L H H H H H H H H H H L H H

Linha9 8 L L L L H H H H H H H H L H

Linha10

9 H L L H H H H H H H H H H L

Linha11

H L H L H H H H H H H H H H

Linha12

H L H H H H H H H H H H H H

Linha13

H H L L H H H H H H H H H H

Linha14

H H L H H H H H H H H H H H

Linha15

H H H L H H H H H H H H H H

Linha16

H H H H H H H H H H H H H H

( a ) ( b )Fig07: ( a ) CI7442 Decodificador de BCD para Decimal  ( b ) TV 

7.Experiência11: Decodificador de BCD para Decimal 

7.1.  Abra o arquivo ExpTDC07  ou     ExpTD07_MicroCap      e  identifique o circuito da  figura 8 a seguir. No caso do Multisim ligue a chave de simulação, para o MicroCap execute uma analise Dynamic DC Ative o circuito em seguida verifique o seu funcionamento para cada situação da TV. 

Page 43: ELETRÔNICA DIGITAL

Fig08: Circuito decodificador de BCD para decimal

Tabela II

Linha NºEntradas

BCDSaída Decimal

     D   C  B  A   0   1   2   3   4   5   6   7   8   9 

Linha1 0 L L L L                    

Linha2 1 L L L H                    

Linha3 2 L L H L                    

Linha4 3 L L H H                    

Linha5 4 L H L L                    

Linha6 5 L H L H                    

Linha7 6 L H H L                    

Linha8 7 L H H H                    

Linha9 8 L L L L                    

Linha10

9 H L L H                    

Linha11

H L H L                    

Linha12

H L H H                    

Linha13

H H L L                    

Page 44: ELETRÔNICA DIGITAL

Linha14

H H L H                    

Linha15

H H H L                    

Linha16

H H H H                    

Observe a necessidade de inverter as saídas para acender o indicador correspondente à entrada.

7.2.  Conclusões

8. Decodificador de BCD Para Sete Segmentos

Um decodificador de BCD para sete segmentos é usado quando queremos visualizar resultados em decimal. O indicador mais usado é o display de sete segmentos. Esse  indicador pode ser só para decimal (0 a 9) ou pode ser também para hexadecimal (indica alem dos números de 0a 9, também as letras A,B,C, D, E e F). No caso mais comum é constituído de LED's sendo que o segmento (LED)  pode acender com nível  alto (display catodo comum) ou nível baixo (display anodo comum). A figura a seguir mostra a disposição dos LED's na construção de displays  catodo comum e anodo comum.

( a ) ( b )Fig09 ( a ) Display tipo catodo comum   Arquivo MicroCap ( b ) anodo

comum

É importante observar que para cada tipo de display teremos um decodificador adequado a ele. Por exemplo para anodo comum pode ser usado o 7447.

9. Experiência12: Decodificador de BCD para 7 Segmentos 9.1.  Abra o arquivo ExpTDC08  ou   ExpTD08 MicroCap  e  identifique o circuito da  figura 10   a seguir. Ative o circuito em seguida verifique o seu funcionamento para cada situação da TV. 

Page 45: ELETRÔNICA DIGITAL

Fig10: Decodificador de BCD para 7 segmentos Arquivo Microcap

Tabela III

xxDxx xxCxx xxBxx xxAxx DISPLAY

0 0 0 0  

0 0 0 1  

0 0 1 0  

0 1 0 0  

0 1 0 1  

0 1 1 0  

0 1 1 1  

1 0 0 0  

Page 46: ELETRÔNICA DIGITAL

1 0 0 1  

1 0 1 0  

1 0 1 1  

1 1 0 0  

1 1 0 1  

1 1 1 0  

1 1 1 1  

9.2.   Conclusões:

     Aula05     Índice de Aulas  Aula07

Page 47: ELETRÔNICA DIGITAL

       Aula06     Índice de Aulas  Aula08

ELETRÔNICA DIGITAL - CIRCUITOS COMBINACIONAIS Aula07:Aplicações de Circuitos Combinacionais - Geração de Produtos CanônicosBIBLIOGRAFIA: Elementos de Eletrônica Digital - Capuano/Idoeta - Editora Érica

1. Produto Canônico - Soma de Produtos

Como sabemos,  com n variáveis   Booleanas podemos  obter  2n combinações possíveis. Por exemplo com 3 variáveis podemos gerar 8 combinações possíveis. Ao produto das variáveis de forma que resulte em 1 chamamos de produto canônico. A tabela a seguir mostra toda as combinações possíveis   para 3 variáveis.  

xxCxx xxBxx xxAxx xxxxxxxxProduto  Canônicoxxvvvvvvvvvvvvvvv

0 0 0

0 0 1

0 1 0

0 1 1

1 0 0

1 0 1

1 1 0

1 1 1 C.B.A

A seguir  um circuito que pode gerar esses produtos canônicos.

Page 48: ELETRÔNICA DIGITAL

Figura1: Circuito gerador de produtos canônicos

Observe que somente uma das saídas será alta para uma dada combinação das entradas. Para especificar os valores de entrada mudamos a posição das chaves C, B ou A.

2. Experiência13: Gerador de Produtos Canônicos

2.1.  Abra o arquivo ExpTDC09  (Multisim)  e  identifique os circuitos da  figura1. Ative o circuito em seguida verifique as saídas do circuito para todas as combinações de entrada da tabela a seguir.

xxCxx xxBxx xxAxxx

xS0xxx

xS1xxx

xS2xxx

xS3xxx

xS4xxx

xS5xxx

xS6xxxxS7xx

0 0 0                

0 0 1                

0 1 0                

0 1 1                

1 0 0                

1 0 1                

1 1 0                

1 1 1                

Page 49: ELETRÔNICA DIGITAL

2.2.  Conclusões

3. Multiplex Digital (MUX)

Um MUX é um circuito combinacional usado para enviar várias informações usando uma única linha física. O diagrama da figura2 mostra como isso é feito. Na figura2 o exemplo é de um MUX de 4 canais (entradas) e equivalente mecânico através de uma chave rotativa. Observe que as informações (E0,E1,E2,E3) são transmitidas  uma após a outra por isso mesmo esse circuito muitas vezes pode ser chamado de conversor Paralelo-serie.

( a ) ( b )Figura2: ( a )MUX de 4 entradas   ( b ) Equivalente mecânico (chave rotativa)

Como podemos verificar da figura2, somente uma entrada é  conectada à saída num determinado instante. A seleção de qual entrada se conecta com a saída é feita eletronicamente através das  variáveis B e A.  A entrada EN (Enable=Habilita) permite habilitar ou não o funcionamento do circuito. Se EN=0 o circuito funcionará de acordo com o explicado. Se EN=1 a saída permanecerá sempre  em 0 (por exemplo) independentemente de B e A.A figura 3 mostra a TV do circuito.

xxENxx xxBxx xxAxx xxSxx

1 X X 1

0 0 0 E0

0 0 1 E1

0 1 0 E2

0 1 1 E3

A seguir na figura3 um circuito MUX de 4 entradas implementado com portas lógicas.

Page 50: ELETRÔNICA DIGITAL

   

Figura3: MUX de 4 entradas

4. Experiência14: MUX Implementado com Portas Lógicas 

4.1.  Abra o arquivo ExpTDC10 (Multisim)  ou ExpTD10  (MicroCap)     identifique os circuitos da  figura3. Ative o circuito em seguida preencha a TV do mesmo

 

xxENxx xxBxx xxAxx xxSxx

1 0 0  

1 0 1  

1 1 0  

1 1 1  

0 0 0  

0 0 1  

0 1 0  

0 1 1  

4.2.  Conclusões

Page 51: ELETRÔNICA DIGITAL

5. MUX de 4 Entradas Construído com CI

A figura4 a seguir mostra um bloco multiplex de 4 entradas construído em CI. São exemplos de CI multiplex: 74150,74151, 74152, 74153, 74154.

 

Figura4: CI MUX  de 4 entradas

Experiência15: MUX Implementado com CI 

5.1.  Abra o arquivo ExpTDC11 (Multisim) e  identifique os circuitos da  figura4. Ative o circuito em seguida preencha a TV do mesmo considerando diversos valores de entradas.

xxENTRADASxx xxBxx xxAxx xxSAÍDA (Y)xx

D0=00 0

 

D0=1  

D1=00 1

 

D1=0  

D2=01 0

 

D2=0  

D3=0 1 1  

Page 52: ELETRÔNICA DIGITAL

D3=0  

 

5.2.  Conclusões:

 

       Aula06     Índice de Aulas  Aula08

Page 53: ELETRÔNICA DIGITAL

    Aula07     Índice de Aulas  Aula09

ELETRÔNICA DIGITAL - CIRCUITOS COMBINACIONAIS    Aula08: Aplicações de Circuitos Combinacionais - MUX e DEMUXBIBLIOGRAFIA: Elementos de Eletrônica Digital - Capuano/Idoeta - Editora Érica

1. Demultiplex (DEMUX)

O circuito Demultiplex,  DEMUX ou distribuidor converte uma informação serial em uma informação paralela. A sua operação é inversa do MUX,  portanto ele tem varias saídas e uma única entrada.

 

E: Entrada de dados      

S3,S2,S1,S0: saídas de dados

EN: entrada de habilitar

B, A : Variáveis de seleção (selecionam qual das saídas será conectada à entrada)

Fig1: Demultiplex de 4 canais

 

Tabela Verdade do DEMUX de 4 canais

xxENxx xxBxx xxAxx xxS3xx xxS2xx xxS1xx xxS0xx

1 x x 0 0 0 0

0 0 0 0 0 0 E

0 0 1 0 0 E 0

0 1 0 0 E 0 0

0 1 1 E 0 0 0

Page 54: ELETRÔNICA DIGITAL

Observe que o DEMUX estará habilitado se EN=0, a seguir no circuito com portas você entenderá porque.  

2.Demux Construído com Portas Lógicas 

A seguir um exemplo de DEMUX construído com portas lógicas.

Fig02: DEMUX de 4 canais 

3. Experiência16: DEMUX Implementado com Portas

3.1.  Abra o arquivo ExpTDC12  ou    ExpTD12_Demux_portas   e  identifique os circuitos da  figura2. Ative o circuito em seguida preencha a TV do mesmo. Obs: X na tabela significa que você pode colocar qualquer valor (0 ou 1).

xxENxx xxExx xxBxx xxAxx xxS3xx xxS2xx xxS1xx xxS0xx

10

x x        1

00

0 0        1

00

0 1        1

00

1 0        1

Page 55: ELETRÔNICA DIGITAL

00

1 1        1

 

3.2.  Conclusões

4.DEMUX com CI - 74155

A seguir na figura3 um CI comercial (74155) contendo dois DEMUX  de  4 canais. Observar que as saídas estão invertidas

Fig03: CI 74155 contendo dois DEMUX de dois canais

5. Experiência17: DEMUX Implementado com CI (74155)

5.1.  Abra o arquivo ExpTDC13 e  identifique os circuitos da  figura3. Ative o circuito em seguida preencha a TV do mesmo, isto é, para cada valor de entrada (1G)

xx1Gxx xxExx xxBxx xxAxx xxY3xx xxY2xx xxY1xx xxY0xx

xx10

x x        1

Page 56: ELETRÔNICA DIGITAL

00

0 0        1

00

0 1        1

00

1 0        1

00

1 1        1

5.2.  Conclusões

 

 Aula07     Índice de Aulas  Aula09 

Page 57: ELETRÔNICA DIGITAL

  Aula08     Índice de Aulas  Aula10

ELETRÔNICA DIGITAL - CIRCUITOS SEQUENCIAIS AULA09: FLIP FLOP RSBIBLIOGRAFIA: Elementos de Eletrônica Digital - Capuano/Idoeta - Editora Érica

1. Introdução

Em um circuito seqüencial o estado seguinte depende das condições do estado anterior, isto é, o circuito tem memória. O elemento básico de memória é o Flip – Flop. Um Flip Flop tem duas saídas complementares (quando uma está em nível alto a outra estará em nível baixo e vice-versa). Os  estados  designados pelos números 0 e 1.

1.1. Flip-Flop ou Biestável

Nos circuitos combinacionais as saídas são uma função das entradas no mesmo instante, isto é, esses circuitos não são capazes de lembrar dos estados anteriores das entradas (dizemos que não tem memória).

Os circuitos seqüenciais são circuitos que tem memória, isto é, são capazes de lembrar dos estados anteriores. O elemento básico de um circuito seqüencial é um flip-flop. Um flip-flop é um dispositivo biestável com dois estados: Em um deles a saída (Q) será “ 0 ’’ e no outro estado a saída será "1".Para mudar de estado os valores das entradas deve mudar de acordo com uma tabela (tabela verdade).

1.2.FF RS Assíncrono 

A seguir na figura 1 o bloco representativo de um FF RS e a sua TV com as 4 possibilidade.  Observe que tem  duas entradas R(Reset) e S(Set) e duas saídas ditas complementares:

Q   e    desta forma se Q=0 =1 se Q=1 então =0

RX SX Q

0 0 mantém mantém

0 1 impõe 1 impõe 0

1 0 impõe 0 impõe 1

1 1 não permitido não permitido

( a ) ( b )Figura 01: ( a ) FF RS   ( b ) Tabela Verdade

 Esse FF tem duas desvantagens:

Page 58: ELETRÔNICA DIGITAL

a) A saídas mudam imediatamente após as entradas mudarem

b) Quando as entradas estão em nível alto as saídas serão indefinidas .

O primeiro caso é um problema lógico, pois as mudanças de dados nas células serão casuais, não sendo possível controlar a operação. A solução para esse problema é o FF RS síncrono. Neste elemento as mudanças de estado acontecerão somente com o aparecimento de um pulso de relógio.

O segundo caso será resolvido com o FF JK.

1.3.FF RS com Portas Assíncrono  

Existem várias formas de se construir um FF RS. Mostraremos uma delas, com  portas NAND. O FF é chamado de assíncrono  se o seu funcionamento não é controlado por pulsos periódicos chamados de relógio ou clock.

Figura 02: Circuito do FF RS construído com portas  NAND

Na figura02 os níveis lógicos são modificados através das chaves S e R (no MultiSIM para mudar a posição da chave pressione a tecla correspondente no teclado).  Esse FF tem a seguinte TV:

 

  R   

S  Q Estado

0 0 não não o estado do FF não se altera

Page 59: ELETRÔNICA DIGITAL

muda       muda 

0 1 0 1 impões 0 (reseta)

1 0 1 0 impõe 1 (seta)

1 1 1 1leva a uma indeterminação quando as entradas

voltarem a ser iguais a 0

Da TV podemos concluir que não é permitido que as entradas sejam iguais a 1 ao mesmo tempo.

Consulte a bibliografia acima para obter mais informações.

1.4. FF RS com Relógio (Clock) 

Muitas vezes é necessário sincronizar a operação de um FF com o resto do circuito. Para isso usamos um pulso de freqüência  conhecida. A figura 03 mostra como construir o FF RS adicionando um clock (C) ou relógio. A chave C estando em nível 1 habilita o circuito de acordo com a TV já vista. quando C=0 então a saída ficará no mesmo estado mesmo que as entradas Re S variem.

Figura 03: FF RS com clock

2. Experiência18: FF RS com Portas  

Page 60: ELETRÔNICA DIGITAL

2.1.  Abra o arquivo TDS01  (Multisim) e identifique o circuito da figura02. Levante a sua TV pra todas as combinações possíveis.

 

RX SX QX

0 0  

0 1  

1 0  

1 1  

 

2.2.  Conclusões:

3. Experiência19:  FF RS com Relógio  

3.1.  Abra o arquivo ExpTDS02 (Multisim) e identifique o circuito da figura03. Preencha a tabela a seguir.

 

Clock(C)X RX SX QX

1 0 0  

1 0 1  

1 1 0  

1 1 1  

0 0 0  

0 0 1  

0 1 0  

0 1 1  

3.2.  Conclusões:

 Aula08     Índice de Aulas  Aula10

 

Page 61: ELETRÔNICA DIGITAL

  Aula09     Índice de Aulas  Aula11

ELETRÔNICA DIGITAL - CIRCUITOS SEQUENCIAIS AULA010: FLIP FLOP JK - FLIP FLOP D -   FLIP FLOP T  BIBLIOGRAFIA: Elementos de Eletrônica Digital - Capuano/Idoeta - Editora Érica

1. O Flip Flop Tipo JK

É obtido usando um FF RS com realimentação como mostrado na figura 01 a seguir.

Figura 01: FF JK obtido pela realimentação entre um FF RS e portas E

Para o circuito da figura 01 a TV é

Jx  Kx Qax x Sx Rx Qf Conclusão Qf

0 0 0 1 0 0 mantémMantém Qa

0 0 1 0 0 0 mantém

0 1 0 1 0 0 mantém (Qa=0)Impõe 0 0

0 1 1 0 0 1 impõe 0

1 0 0 1 1 0 impõe 1Impõe 1 1

1 0 1 0 0 0 mantém (Qa=1)

1 1 0 1 1 0 Impõe 1Complementa

1 1 1 0 0 1 Impõe 0

Atenção que, para J=K=1 para obter a ultima linha da TV é necessário que os atrasos das portas sejam convenientes,caso contrário pode haver oscilação das saídas. O problema é totalmente resolvido com o FF JK mestre escravo.

 

Page 62: ELETRÔNICA DIGITAL

2. O Flip Flop Tipo JK Mestre Escravo

Esse FF elimina a indeterminação da ultima linha da TV do FF RS. É construído a partir de dois FF RS um chamado de mestre (Master) e outro de escravo (Slave). A figura02 a seguir mostra  a sua construção.

Figura 02: FF Mestre Escravo (Master Slave)

 

Observem que, quando o Clock=1  o FF mestre estará habilitado e portanto o que estiver na sua entrada passará para a sua  saída (QM)  de acordo com a TV de um FF JK, enquanto isso o FF escravo estará desabilitado pois o seu Clock=0.  Quando o clock passar para 0, o conteúdo das entradas do escravo passará para a saída Q. Como a saída só mudará quando o clock passar de 0 para 1 dizemos que este tipo de FF é sensível à borda de descida. A figura03 mostra o símbolo dos FF sensível à borda de descida e subida.

                                                                ( a )                                              ( b )

Page 63: ELETRÔNICA DIGITAL

Figura 03: FF sensíveis à borda simbologia ( a ) FF JK sensível à borda de descida ( b ) FF JK sensível à borda de subida 

Obs: A entrada de Set muitas vezes é chamada de Preset e a de Reset de Clear.Para os FF da figura 03 vale a TV

 

SETx RESETx Jx Kx Qax x

0 0 X X 0 0

0 1 X X 0 1

1 0 X X 1 0

1 1 0 0 Qa

1 1 0 1 0 1

1 1 1 0 1 0

1 1 1 1 Qa

Observar que para funcionamento normal as entradas  assíncronas (SET e RESET) devem estar em nível alto.

Para impor 1 de forma assíncrona deveremos ter SET=1 e RESET=0.

Para impor 0 de forma assíncrona deveremos ter SET=0 e RESET=1.

3. Flip Flop Tipo D (Data FF)

Um FF Tipo D tem uma única entrada de dados e  a sua finalidade é transferir os dados para a saída quando o pulso de relógio for aplicado. A figura04 a seguir mostra um FF tipo D construído a partir de um FF RS. Observe que, como as entradas são complementares não existe a possibilidade de S=R=1.

( a ) ( b )Figura 04: FF tipo D ( a )símbolo ( b ) FF D

implementado com portas NAND

Page 64: ELETRÔNICA DIGITAL

 

                              

Como o  FF tipo D não modifica o sinal ele é usado principalmente como Buffer, transferindo um Bit  (ou um Byte quando houver mais de um FF) quando o clock variar. No exemplo da figura04a temos um FF que responde quando o clock passar de baixo para alto, dizemos que o FF é sensível à borda de subida do pulso de clock.

 

4. Flip Flop Tipo T (Toggle FF)

É obtido a partir de um FF JK Mestre Escravo curto circuitando as entradas J e K. Desta forma teremos somente duas condições para a entrada T (T=0 e T=1). A figura05 mostra  os símbolos e a TV.

T Q

0 1

1 0

( a ) ( b )Figura 05: FF Tipo T ( a )  símbolo  dos FF sensível à borda de subida e descida ( b ) TV

 

 

5. Experiência20: Flip Flop JK

5.1.  Abra o arquivo TDS03 (Multisim) e identifique o circuito da figura01. Para todas as combinações de entradas preencha a TV do circuito.

 

SETX RESETX     S       R      J       K       Q   

0 0 0 0 x x  

0 1 0 1 x x  

1 0 1 0 x x  

1 1 1 1 0 0  

1 1 1 1 0 1  

1 1 1 1 1 0  

1 1 1 1 1 1  

Page 65: ELETRÔNICA DIGITAL

Obs: X  na TV significa qualquer valor (irrelevante)

5.2.  Conclusões

 

6. Experiência21: Flip Flop JK Mestre Escravo - Portas 

61.  Abra o arquivo TDS04 (Multisim) e identifique o circuito da figura02. Para todas as combinações de entradas preencha a TV do circuito.

Tabela I

PRESETX CLEARX JX KX QaX X

0 0 X X    

0 1 X X    

1 0 X X    

1 1 0 0    

1 1 0 1    

1 1 1 0    

1 1 1 1    

OBS: X na TV significa irrelevante (Tanto faz, qualquer valor)

6.2.  Conclusões

7. Experiência22: Flip Flop JK Mestre Escravo - Bloco

7.1. Abra o arquivo TDS05  (Multisim) (FF sensível à borda de subida). Identifique o circuito a seguir e para todas as combinações de entradas preencha a TV do circuito.

Page 66: ELETRÔNICA DIGITAL

Figura 06: FF JK para experiência 22

 

SETX RESETX JX KX QaX X

0 0 X X    

0 1 X X    

1 0 X X    

1 1 0 0    

1 1 0 1    

1 1 1 0    

1 1 1 1    

7.2.  Conclusões

8. Experiência23: Flip Flop JK Mestre Escravo - Bloco

8.1.  Abra o arquivo TDS06 (Multisim) (FF sensível à borda de descida). Identifique o circuito a seguir e para todas as combinações de entradas preencha a TV do circuito.

Page 67: ELETRÔNICA DIGITAL

Figura 07: Circuito do FF JK para experiência 23

PRESET (PR)XX CLEAR(CLR)X JX KX QaX X

0 0 X X    

0 1 X X    

1 0 X X    

1 1 0 0    

1 1 0 1    

1 1 1 0    

1 1 1 1    

8.2. Conclusões

9.Experiência24: Flip Flop Tipo D

9.1. Abra o arquivo  ExpTDS07  (Multisim) e identifique o circuito da figura a seguir. Para cada valor de entrada (D) e Clock(C)  da tabela, especificar o valor da saída.

Page 68: ELETRÔNICA DIGITAL

Figura 08: Circuito do FF Tipo D construído com portas e o Bloco FF tipo D

 

FF Tipo D com Portas FF Tipo D -  Bloco

Clock (C)XX

Entrada de Dados(D)X  QXX Clock (C) Entrada de Dados (D)  QXX

0 0 x 0 0 xx

0 1 x 0 1 x

0 para  1 0 x 0 para 1 0 x

0 para 1 1 x 0 para 1 0 x

9.2. Observar a diferença de comportamento entre os dois circuitos, o com portas e o em Bloco.

9.3.  Conclusões

10. Experiência25: Flip Flop Tipo T

10.1. abra o arquivo  ExpTDS08  (Multisim) e identifique o circuito da figura a seguir. Para cada valor de entrada(T)  e Clock(C)  da tabela, especificar o valor da saída.

 

Page 69: ELETRÔNICA DIGITAL

Figura 09: Circuito do FF Tipo T para experiencia 25

 

 

FF Tipo T em  Bloco

Clock (C)X Entrada T   QX

0 0 .

0 1 .

0 para 1 0 .

0 para 1 1 .

1 para 0 0

1 para 0 1

10.2.  Conclusões

 

 Aula09     Índice de Aulas  Aula11

Page 70: ELETRÔNICA DIGITAL

 Aula10     Índice de Aulas  Aula12

ELETRÔNICA DIGITAL - CIRCUITOS SEQUENCIAISAULA11: Contadores Crescente Assíncronos  BIBLIOGRAFIA: Elementos de Eletrônica Digital - Capuano/Idoeta - Editora Érica

1. Contadores

Contadores são chamados de assíncronos quando o pulso de relógio é aplicado somente na entrada do primeiro FF ( Menos Significativo). O clock dos outros é obtido da saída Q (ou da saída complementar).

1.1. Contadores Crescente Assíncronos  de 0 a 3

Para obter uma contagem de 0 (00) a 3 (11) necessitamos de pelo menos 2 FF. Existem diversas formas de se obter esse contador aqui consideraremos somente uma.

Antes de mostrar um contador vejamos o comportamento de um FF   JK que tem as suas entradas ligadas em nível alto (o FF está se comportando com um FF T). Sabemos que um FF JK se J=K=1 a saída será complementada  quando o pulso for aplicado.

No caso o FF da figura 01 é sensivel ao bordo de descida, isto é, a mudança de estado ocorrerá quando o pulso de clock descer, isto é, quando o pulso de relógio (CK) mudar de 1 para 0. Observando as formas de onda de entrada (ck) e  saída, notamos  que a saída terá freqüência a metade da de entrada. Dizemos então que o circuito é um divisor por 2.

    ( a )        ( b )Figura 01: ( a ) Circuito divisor por 2 (  b )

formas de onda de saída (Q) e entrada (CK)

Page 71: ELETRÔNICA DIGITAL

 

 

                                                                                  

 

Vamos adicionar mais um FF ao circuito da figura 01, como na figura02. Observe que o pulso de relógio externo é aplicado somente no primeiro FF. O relógio do segundo FF é obtido da saída Q0.

    ( a )        ( b )Figura 02:( a ) Circuito contador de 0 a 3 ( b ) Formas de onda de entrada (Clock) e saídas

(Q0 e Q1)

 

Observe que a saída Q0 em relação ao pulso de relógio (CK) tem metade da freqüência enquanto a saída Q1 em relação ao mesmo pulso  tem  1/4 da freqüência. Além disso o circuito permite obter uma seqüência de contagem de 0 (00) a 3 (11). 

De uma forma geral com n FF é possível contar até 2n-1  .

1.2. Contadores Decrescente Assíncronos  de 3 a 0

Para obter uma contagem decrescente basta conectar a saída complementada ao clock do FF seguinte como na figura a seguir.

Page 72: ELETRÔNICA DIGITAL

    ( a )        ( b )Figura 03:( a ) Circuito contador de 3 a 0 ( b ) Formas de onda de entrada (Clock) e saídas

(Q0 e Q1)

 

2. Experiência26: Contador de 0 a 3

2.1.  Abra o arquivo  ExpTDS09 (Multisim)  e identifique os circuitos da figura 04 a seguir. Verifique o seu funcionamento através da chave C.

Page 73: ELETRÔNICA DIGITAL

Figura 04: Contador de 0 a 3 para experiência 26

2.2.  Conclusões

3. Experiência27: Contador de 3 a 0

3.1.  Abra o arquivo  ExpTDS10  (Multisim) e identifique os circuitos da figura05 a seguir. Verifique o seu funcionamento através da chave C.

Page 74: ELETRÔNICA DIGITAL

Figura 05:Contador de 3 a 0  - experiencia 27

3.2. Conclusões:

   Aula10     Índice de Aulas  Aula12

 

 

Page 75: ELETRÔNICA DIGITAL

Aula11     Índice de Aulas  Aula13

ELETRÔNICA DIGITAL - CIRCUITOS SEQUENCIAIS AULA12: Contador de 0 a 5 - Contador de 0 a 9 - Contador de 00 a 59 - Contador de 00 a 99  BIBLIOGRAFIA: Elementos de Eletrônica Digital - Capuano/Idoeta - Editora Érica

1.Contador de 0 a 5 

Esses contadores são importantes na construção de cronômetros e relógios digitais. Desejamos  obter  a seqüência de contagem: 

000 > 001 > 010 > 011 >100 >101 > 000

São necessários   no mínimo 3 FF, e como já vimos, com três FF contamos até 7 desta forma precisamos fazer o contador voltar a zero quando atingir a contagem 6 (110) e para isso é necessário  incluir uma lógica externa para fazer o contador resetar. A figura 01 mostra como isso é feito.

( a ) ( b )Figura 01: ( a ) Contador de 0 a 5 ( b ) Formas de onda de saída  e clock

Observar que:

 a mudança de estado do FF acontece quando o seu clock passar de 1 para 0.

Page 76: ELETRÔNICA DIGITAL

 a saída da porta NAND é alta de 0 a 5 e quando a contagem atinge 6 a saída vai a 0 o que reseta todos os 3 FF.

 

2. Contador de 0 a 9

É obtido da mesma forma que o contador de 0 a 5, a diferença é que precisa de 4 FF. O  que desejamos é obter  a seqüência de contagem: 

0000 > 0001 > 0010 > 0011 > 0100  > 0101 > 0110 > 0111 > 1000 > 1001 > 0000

Da mesma forma que no contador de 0 a 5 é necessário uma lógica externa que zere o contador em 10 (1010). O circuito da figura02 mostra como isso é feito.

( a ) ( b )Figura 02: ( a ) Contador de 0 a 9 ( b ) Formas de onda de saída  e clock

 

3.   Contador de 00 a 99

Para obter esse contador conectamos dois contadores de 0 a 9 como no esquema a seguir.

Page 77: ELETRÔNICA DIGITAL

Figura 03: Diagrama de blocos de um contador de 00 a 99 

Se os pulsos de clock de entrada tiverem período de 1s o circuito será um cronômetro de 00 a 99s. Observe também que os pulsos de saída terão freqüência 100 vezes menor que os de entrada, então temos um divisor por 100.

4. Contador de 00 a 59

Um contador de 00 a 59 é obtido conectando-se um contador de 0 a 9 a um contador de 0 a 5 resultando em um circuito que pode ser usado como relógio de segundos e minutos.

Figura 04: Diagrama de blocos de um contador de 00 a 59

Se os pulsos de clock de entrada tiverem período de 1s o circuito será um cronômetro de 00 a 59s (1min). Observe também que os pulsos de saída terão freqüência 60 vezes menor que os de entrada, então temos um divisor por 60.

 

 

5. Experiência28: Contador de 0 a 5

5.1.  Abra o arquivo  ExpTDS11 (Multisim) e identifique os circuitos da figura03 a seguir. Verifique o seu funcionamento através da chave C.

Page 78: ELETRÔNICA DIGITAL

Figura 05: Contador de 0 a 5

5.2.  Conclusões

6. Experiência29: Contador de 0 a 9

6.1.  Abra o arquivo  ExpTDS12  (Multisim) e identifique os circuitos da figura04 a seguir. Verifique o seu funcionamento através da chave C.

Page 79: ELETRÔNICA DIGITAL

                                  

Figura 06: Contador de 0 a 9  para experiência25

4.2.  Conclusões

6. Experiência30: Contador de 00 a 99

6.1. Abra o arquivo  ExpTDS13 e identifique o circuito da figura a seguir. Verifique o seu funcionamento através da chave Space. Observe que os blocos são subcircuitos nos quais temos um contador de 0 a 9. Para ver o circuito interno dê duplo clique no mesmo.

Page 80: ELETRÔNICA DIGITAL

Figura 07: Contador de 00 a 99

6.2.  Conclusões

7. Experiência31: Contador de 00 a 59

7.1.  Abra o arquivo  ExpTDS14 (Multisim) e identifique o circuito da figura a seguir. Verifique o seu funcionamento através da chave C.

Page 81: ELETRÔNICA DIGITAL

Figura 08: Contador de 00 a 95

2) Conclusões

  Aula11     Índice de Aulas  Aula13

Page 82: ELETRÔNICA DIGITAL

ELETRÔNICA DIGITAL - CIRCUITOS SEQUENCIAIS AULA13: Registradores de Deslocamento BIBLIOGRAFIA: Elementos de Eletrônica Digital - Capuano/Idoeta - Editora Érica

1. Registradores de Deslocamento

São circuitos que  permitem armazenar uma informação binária com mais de um Bit, além disso podem realizar modificações nos dados armazenados deslocando-os para esquerda ou direita. A forma como os dados dão entrada e como eles saem do registrador caracterizará o registrador. 

Podemos ter registradores com:

Entrada Série / Saída Paralelo Entrada Paralelo / Saída Série Entrada Série/ Saída Série Entrada Paralelo / Saída Paralelo

A figura a seguir mostra um registrador de 4 bits com entrada paralelo/série e saída paralelo/série.

        Figura 01: Registrador de deslocamento 

A seqüência  de passos para entrar com os dados em paralelo é:

Page 83: ELETRÔNICA DIGITAL

Limpar o registrador fazendo  Enable(E) = 0 o que faz com que a entrada de Preset seja 1 (PR=1) e Clear (CLR)=0 o que impõe 0 em todos os FF. 

Em seguida deveremos colocar Clear (CLR)=1 e Enable (E)=1.  As entradas (3,2,1,0) que tiverem com valor 0  faz com que a entrada

correspondente de PR seja 1, o que mantém o zero inicialmente colocado quando o registrador foi limpo. As entradas que tiverem 1 faz com que a entrada correspondente de PR seja 0, o que impõe 1 no FF.

Para entrar com os dados em série você deve usar a entrada  Entrada de Dados Série (entrada D do  FF0).

A saída de dados em série é obtida em Q3 e em paralelo em Q3, Q2, Q1, Q0.

Observe  que a entrada de dados em paralelo requer um tempo menor do que em série. Da mesma forma a saída de dados em paralelo é mais rápida do que a série  que requer 4 pulsos de relógio.2.

2. Registradores de Deslocamento Universal - 74194

Esse é um registrador em CI de 4 bits que permite as seguintes operações:

Entrada serie com deslocamento à direita (SR) com os bits sendo introduzidos na posição A (QA). S1=1 e S0=0.

Entrada serie com deslocamento à esquerda (SL) com os bits sendo introduzidos na posição D (QD). S1=0 S0=1.

Entrada em paralelo dos 4 bits ao mesmo tempo. S1=1 e S0=1. Limpar o registrador. CLR=0

 

    Figura 02: Registrador de deslocamento  universal de 4 bits

 

A seguir a tabela verdade do Registrador de deslocamento  universal de 4 bits 74194.

  MODO   ENTRADA SERIE PARALELO SAÍDAS PARALELAS

Page 84: ELETRÔNICA DIGITAL

CLEAR S1 S2 Clock LEFT RIGHT A B C D A B C D

0 X X X X X X X X X 0 0 0 0

1 X X 0 X X X X X X QA0 QB0 QC0  QD0

1 1 1 . X X a b c d a b c d

1 0 1 . X 1 X X X X 1 QAn  QBn QCn

1 0 1 . X  0 X X X X 0  QAn  QBn QCn

1 1 0 . 1 X X X X X QBn  QCn QDn 1

1 1 0 . 0 X X X X X QBn  QCn QDn 0

1 0 0 . X X X X X X QA0 QB0 QC0  QD0

. = transição do clock de  baixo para altoa, b, c, d = o nível das entradas  A, B, C, e D respectivamente, no estado estacionário.QA0, QB0, QC0, QD0 = o nível de  QA, QB, QC, e QD, respectivamente,  antes das condições  de estado etacionario  das entradas sejam estabelecidas.QAn, QBn, QCn, QDn = O nível de  QA, QB, QC, e QD antes da mais recente transição negativa do clock.

A carga do registrador é feita na subida do pulso de clock (transição do clock de  baixo para alto).12

3. Experiência32: Registrador de Deslocamento 

3.1.  Abra o arquivo  ExpTDS15 (Multisim) e identifique os circuitos da figura 03 a seguir. 

Page 85: ELETRÔNICA DIGITAL

Figura 03: Registrador de deslocamento Universal 74194

3.2.  Carregue o seguinte dado em paralelo: D=1 C=0  B=1 A=0 fazendo S1=S0=1 em seguida mude o clock para 1. O que acontece com a saída do registrado?

3.3.  Com a informação de 1010 (102=AH) no registrador faça S1=1 e S0=0 e SR=1. Aplique 4 pulsos de clock. O que acontece? Em seguida faça S1=0 e S0=1 e SL=0. O que acontece ? Experimente outras combinações.

3.4. Zere o registrador usando a entrada de CLR

 3.5.  Conclusões:

   Aula12     Índice de Aulas  Aula14

Page 86: ELETRÔNICA DIGITAL

Simulador de Matriz de Pontos para Circuito Integrados Digitais

O objetivo é montar circuitos digitais como se voce os estivesse colocando em uma matriz de pontos. Apos dezipar (faça download ) e clicar no executável aparecerá a tela, Figura01. Nesta figura voce identifica a matriz de pontos bem como chaves, LEDs, Displays, fonte de alimentação (Vcc/GND), gerador de onda quadrada de 1Hz e 10Hz, chave geral e um menu. Para inserir um componente voce deve deve ir em Circuitos  e escolher o CI que deseja (Importante! voce deve ter a pinagem do CI para saber onde é entrada, saida, etc). A figura 2 mostra um CI Selecionado). Em seguida voce arrasta o CI para a Matriz de Pontos (MP), conforme Figura 3. O passo seguinte é alimentar o circuito. Os fios são colocados clicando no ponto inicial em seguida arrastando até o ponto final (voce deve saber quais pinos são entradas e quais são saidas!!!). A Figura 4 mostra o circuito alimentado e com as entradas ligadas nas chaves e a saida em um LED. Por fim voce usa a chave de liga/desliga para iniciar a simulação. A Figura 5 mostra uma combinação de entrada e a respectiva saída.

Figura 01Tela inicial

Figura 02CI selecionado

Figura 03CI na MP

Figura 04Conexões feitas

Figura 05Simulando

Page 87: ELETRÔNICA DIGITAL
Page 88: ELETRÔNICA DIGITAL
Page 89: ELETRÔNICA DIGITAL
Page 90: ELETRÔNICA DIGITAL
Page 91: ELETRÔNICA DIGITAL

TECNOLOGIA TTL 

1. SÉRIES DA FAMILIA TTL:  Os circuitos integrados da família TTL são encontrados em duas series denominadas 74 (para uso comercial) e 54 (para uso militar). Originalmente, os circuitos integrados foram destinados para uso militar onde tamanho, consumo e potencia e confiabilidade era preponderante. Porém em 1964, surgiu a versão comercial de custo inferior. Respeitando-se algumas especificações, os dispositivos da série 54 são compatíveis com os da série 74. A tecnologia TTL se apresenta em duas séries: 74XX – série comercial54XX – série militar Características: Série 74XX                                                                                     Série 54XXTemperatura:  0 a 70ºC                                                 Temperatura:  -55 a 125ºC                                           Alimentação:  4,75 a 5,25V                                           Alimentação:   4,5 a 5,5V 

2. CLASSIFICAÇÃO DO PROCESSO DE INTEGRAÇÃO:  Até 1964, os dispositivos semicondutores eram encapsulados individualmente. Assim sendo, a montagem de portas lógicas e sistemas digitais era feita através de componentes discretos (resistores, diodos, transistores etc..). O primeiro passo no desenvolvimento dos circuitos integrados foi a fabricação de uma porta lógica em uma única pastilha de silício e seu encapsulamento num embalagem própria. Verificou-se, então, que muitas portas lógicas poderiam ser fabricadas em uma única pastilha e encapsuladas em um único invólucro. Assim sendo, em um circuito integrado, são encontrados muitos transistores, diodos e resistores sobre a mesma pastilha de silício, formando portas lógicas ou circuitos lógicos mais complexos, objetivando um menor custo, menor tamanho e maior confiabilidade. O processo de integração pode ser classificado como: 

SSI – Small Scale Integration .............(Integração de até 12 portas).         MSI – Médium Scale Integration..........(Integração de 13 até 99 portas) LSI – Large Scale Integration...............(Integração de 100 a 1000 portas) VLSI -  Very Large Scale Integration....(Integração de mais de 1000 portas)

  

3. NUMERAÇÃO DOS PINOS DE CONEXÃO DOS CI:  É feita no sentido anti-horário em relação a marca de referencia existente no CI. Alguns integrados possuem terminais NC (No Internal Connection)  4. TIPOS DE FAMÍLIAS TTL :  Desde a introdução da primeira família (Standart) de circuitos integrados lógicos TTL, surgiram novas técnicas de projetos, bem como novos processos e tecnologias de

Page 92: ELETRÔNICA DIGITAL

fabricação de circuitos. Isto permitiu o surgimento de novas famílias que apresentam vantagens e desvantagens entre si. Os principais tipos de famílias existentes no mercado e suas respectivas identificações estão mostrados na tabela abaixo:

 FAMÍLIA IDENTIFICAÇÃO

Standart 54/74Low Power 54L / 74LHigh Speed 54H / 74HSchottky 54S / 74SLow Power Schottky 54LS / 74LSAdvanced Schottky 54AS / 74ASAdvanced Low Power Schottky 54ALS / 74ALS

 Num CI o tempo de atraso de propagação é o tempo necessário para que uma mudança nas entradas de um circuito lógico altere as suas saídas. Quanto menos tempo de atraso de propagação, maior é velocidade de operação de um circuito lógico. 

5. COMPARAÇÃO ENTRE AS FAMILIAS LÓGICAS:  Esta comparação pode ser resumida na tabela abaixo:

 Família Atraso de

PropagaçãoConsumo de

PotenciaAtraso X Consumo

 

Freqüência Máxima de Operação

  ns mW - MHzStandart 10 10 100 35

L 33 1 33 3H 6 22 132 50S 3 20 60 125

LS 10 2 20 45AS 1,5 7 10,5 200ALS 4 1 4 70

 6. TIPOS DE CIRCUITOS DE ENTRADAS E SAIDAS:

 Uma característica dos circuitos integrados TTL é entender como nível lógico alto as entradas em aberto. Embora o funcionamento do circuito integrado seja semelhante quando se tem um nível lógico alto aplicado as suas entradas, ou quando estas estão em aberto, esta última condição não deve ser considerada em projetos, pois um terminal em aberto aumenta a possibilidade de inteferencia de ruídos externos. Além disso, quando as entradas dos dispositivos lógicos mudam de estado lentamente, vários problemas podem ser encontrados e, por isso, foram desenvolvidas outras tecnologias para os circuitos de entrada. 6.1) TIPOS DE ENTRADAS 

Convencional: Do jeito que o sinal chega, ele é aplicado no terminal do CI, sem nenhum tratamento e isso pode causar vários problemas durante o chaveamento dos dipositivos.

Page 93: ELETRÔNICA DIGITAL

Schimitt Trigger: O sinal sofre um “tratamento” antes de chegar ao terminal do CI. Não importando o tipo de forma do sinal, ao passar pelo circuito Schimitt Trigger o sinal sai retangular.

 Simbologia de um CI Schimitt Trigger: 6.2) TIPOS DE SAÍDAS: 

Saída Totem Polem: Saída Open Collector: Saída Tri-State:

 SAÍDA TOTEM POLEM: Esta configuração de saída possui normalmente em sua estrutura interna, um transistor conectado ao +Vcc, permitindo alimentação de cargas altamente capacitivas. SAÍDA OPEN COLLECTOR (COLETOR ABERTO): Configuração de saída que não possui internamente um resistor ligando o coletor de saída ao +Vcc. Este resistor, chamado de PULL UP (Rp) deve ser conectado externamente para que o dispositivo funcione corretamente. SAIDA TRI-STATE (TRES ESTADOS): Configuração de saída que pode fazer com que ele apresente uma alta impedância (terceiro estado) em relação à linha na qual esta conectada. Neste caso, é como se o dispositivo estivesse desligado da linha. Isto permite conectar várias saídas em uma mesma linha de dados, possibilitando que apenas uma forneça nível lógico alto ou baixo, sem danificar os outros dispositivos. Este tipo de saída é fundamental, pois permite interligar numa única via de dados, diversos circuitos integrados, facilitando o projeto de sistemas digitais mais complexos. Os estados que esta configuração apresenta são: 

Estado 0 – nível lógico baixo Estado 1 – nível lógico alto Tri State – Alta impedância

 7. COMPARAÇÃO ENTRE AS FAMILIAS TTL:

 Para o desenvolvimento de projetos de sistemas digitais, vários fatores devem ser considerados na escolha da família e da tecnologia de fabricação de circuitos integrados a serem utilizados. 

8. COMPATIBILIDADE:  Velocidade (tempo de atraso de propagação);Consumo de potencia;Freqüência de operação;fan-in e fan-out;Parâmetros de tensão e corrente de entrada e saída etipos de circuitos de entrada e saída. 

9. EFICIENCIA:  

Page 94: ELETRÔNICA DIGITAL

A eficiência dos projetos que envolvem circuitos integrados digitais é medida considerando-se principalmente a relação entre: Velocidade;Consumo de potencia e,Freqüência de operação Quanto maior a velocidade (menor tempo de atraso de propagação) e menor o consumo (dissipação de potencia), mais eficiente é o sistema. A freqüência máxima de operação, embora relacionada à velocidade, um fator importante, principalmente nos sistemas digitais que processam informações sincronizadas com pulsos de clock.  

10. RELAÇÃO VELOCIDADE X CONSUMO X FREQUENCIA MÁXIMA.  A tabela abaixo, mostra, para efeito de comparação, valores típicos de tempo de atraso de propagação, consumo de potencia e freqüência máxima de operação para os circuitos integrados de diversas famílias. A coluna atraso x consumo serve como referencia para mostras a eficiência das diversas famílias de circuitos integrados. Desta forma, considerando-se apenas estes aspectos, pode-se observar que família ALS é mais eficiente (atraso x consumo = 4) enquanto que a família H é a menos eficiente (Atraso x consumo = 132). 

11. COMPATIBILIDADE:  As famílias de circuitos integrados TTL, são compatíveis entre si. Entretando, para evitar falhas operacionais, é necessária a verificação de algumas especificações como: 

Fan-in: Corresponde á carga que a entrada de um bloco lógico representa para o conjunto de saídas que está conectado a ela.

  Fan-out: É o número de blocos lógicos que pode ser conectado à saída de outro bloco

lógico sem causar degradação do nível lógico.  

Tipos de circuitos de entrada e saída;  

Freqüência máxima de operação

Compatibilidade entre as famílias TTL, pode ser resumida no quadro abaixo: 

Familia Input Loading Output LoadingStandart IiL = -1,6ma

IiH = 40µAIoL = 16 mA

IoH = - 400µAL IiL = -180µA

IiH = 10µAIoL = 3,6 mAIoH = - 200µA

H IiL = -2 mAIiH = 50µA

IoL = 20 mAIoH = - 500 µA

S IiL = -2 mAIiH = 50µA

IoL = 20 mAIoH = - 1 mA

LS IiL = -400µAIiH = 20µA

IoL = 8 mAIoH = - 400µA

AS IiL = -500µAIiH = 20µA

IoL = 20 mAIoH = - 2 mA

ALS IiL = -100µAIiH = 20µA

IoL = 8 mAIoH = - 400µA

 

Page 95: ELETRÔNICA DIGITAL

NOTAS:  IiL – corrente de entrada (input) quando o nível lógico for baixo. IiH – corrente de entrada (input) quando o nível lógico for alto. IoL – corrente de saída (output) quando o nível lógico for baixo. IoH – corrente de saída (output) quando o nível lógico for alto. O fan-in é uma especificação que deve ser levada em considerada principalmente quando se fizer conexão de várias saídas OPEN COLLECTOR a uma única entrada. Como o fan-out é um número definido para portas lógicas de mesma família,  a quantidade de portas lógicas que pode ser ligada numa saída é diferente quando existe a mistura de famílias, sendo, por isso, necessário o conhecimento dos parâmetros de corrente de entrada e de saída das portas lógicas. 

12. PARAMETROS EM CORRENTE CONTINUA:  

Corrente Positiva: Corrente que entra num terminal de entrada ou saída de um dispositivo.

 A corrente positiva de entrada de um dispositivo quando uma tensão em nível alto igual a tensão mínima de saída em nível alto especificada para a família, é aplicada a esta entrada.  Corrente Negativa: Corrente que sai por um terminal de entrada ou saída de um

dispositivo.  

13. CÓDIGOS DE FABRICANTES:  Existem diversos fabricantes de circuitos integrados. A identificação do fabricante é possível através do seu prefixo, como mostra a tabela abaixo:     PREFIXO FABRICANTE

SN TEXASDM NATIONALF FAIRCHILDMC MOTOROLAJF PHILIPSN SIGNETICSFL SIEMENSHD HITACHIMB FUJITSUM MITSUBISHIµP NECTD TOSHIBA

 14. CÓDIGOS DE CIRCUITO INTEGRADOS

 Cada circuito integrado recebe um código de identificação através do qual é possível conhecer, além do fabricante, várias características técnicas como: 

Page 96: ELETRÔNICA DIGITAL

Série;Tipo de família;Função lógica;Tipo de encapsulamento; A tabela abaixo, mostra vários códigos de identificação de circuitos integrados e seus respectivos fabricantes. 

Código do Fabricante

Fabricante

SN 74 LS 195 A J TEXASDM 74 L 165 A N NATIONALF 9300 D C FAIRCHILDMC 74 91 A P MOTOROLAFJ H 13 1 PHILIPSN 74 S 00 F SIGNETICSFL H 29 I U SIEMENSHD 25 48 P HITACHIMB 400 M FUJITSUM 5 32 90 P MITSUBISHIµP B 2000 D NECTD 34 00 A P TOSHIBA

     

15. SIGNIFICADO DOS CÓDIGOS:  Os significado dos códigos de todos os componentes dos diversos códigos de identificação dos circuitos integrados são encontrados nos manuais de seus respectivos fabricantes. Apenas para efeito de exemplificação, abaixo estão apresentados dois códigos de identificação com o significado de todos o seus componentes. 

Código     SN   74 LS 08 A N 3Significado    1      2      

        3 4 5 6 7

  

1 – Prefixo do Fabricante Texas2 – Série Comercial3 – Família Low Power Schottky4 – Função Lógica Quad 2 – Input AND Gates5 – Tecnologia Série Melhorada6 – Encapsulamento Em linha dupla – plástico7 – Instruções Processamento PEP nível 3

  

16. NORMAS TÉCNICAS  A maioria do manuais de circuitos integrados digitais utiliza a simbologia de acordo com norma técnica ANSI. Entretanto, existem outras normas para a apresentação dos dispositivos digitais utilizadas em projetos de empresas brasileiras (ABNT) e européias (IEEE). O nome de uma norma técnica refere-se a sigla do órgão que a criou e possui vários códigos que diferenciam as diversas áreas de aplicação. 

Page 97: ELETRÔNICA DIGITAL

A tabela abaixo, apresenta quatro destas normas e seus respectivos órgãos, bem como, as principais características relativas à simbologia adotada na área de eletrônica digital. 

Norma Órgão CaracterísticasANSI American National Standards Institute Indica apenas a função lógica do

dispositivo.IEEE Institute of Electrical and Electronic

EngineersIndica a função lógica e faz referencias a outras funções e características do dispositivo.

IEC International Electrotechinical Commission Segue o mesmo principio da norma IEEE. (IEC-617-12)

ABNT Associação Brasileira de Normas Técnicas.

Segue o mesmo princípio da norma IEC.(NBR – 08767 – Símbolos Gráficos para Diagramas Lógicos)

 Apesar da ABNT , tanto no Brasil como na maioria dos países do mundo, a norma mais utilizada é a ANSI, tanto por questões culturais (padrão americano) e comerciais como, também, devido a sua grande simplicidade. 

 

      

Page 98: ELETRÔNICA DIGITAL

Aula13     Índice de Aulas  Aula15

ELETRÔNICA DIGITAL - CIRCUITOS SEQUENCIAIS AULA14: Contadores  SíncronosBIBLIOGRAFIA: Elementos de Eletrônica Digital - Capuano/Idoeta - Editora Érica

Os contadores síncronos são mais rápidos que os contadores assincronos pois  os seus relógios são interligados fazendo os FF   mudarem de estado todos ao mesmo tempo.

A finalizar