Report - Aula 5, Exp 4: Representação em Ponto Fixo - DECOMcardoso/ie344b2009s1/Aula5-exp4-ponto...Format = Sign_Width_Binary point a partir do LSB UFix = Unsigned value) Menor negativo:

Please pass captcha verification before submit form