ELETRÔNICA DIGITAL II - joinville.ifsc.edu.brmichael.klug/DIG2/aula0_Introducao... · Linguagens...

16
ELETRÔNICA DIGITAL II Parte 0 Introdução Prof. Michael Introdução Revisão – Circuitos Combinacionais e Sequenciais 1 Professor Dr. Michael Klug

Transcript of ELETRÔNICA DIGITAL II - joinville.ifsc.edu.brmichael.klug/DIG2/aula0_Introducao... · Linguagens...

Page 1: ELETRÔNICA DIGITAL II - joinville.ifsc.edu.brmichael.klug/DIG2/aula0_Introducao... · Linguagens de Descrição de Hardware •HDL: Hardware Description Languages – metodologia

ELETRÔNICA DIGITAL II

Parte 0

Introdução

Prof. Michael

Introdução

Revisão – Circuitos Combinacionais e Sequenciais

1

Professor Dr. Michael Klug

Page 2: ELETRÔNICA DIGITAL II - joinville.ifsc.edu.brmichael.klug/DIG2/aula0_Introducao... · Linguagens de Descrição de Hardware •HDL: Hardware Description Languages – metodologia

GRANDEZAS ANALÓGICAS X DIGITAIS

Valores Contínuos

2

Prof. Michael

Valores Discretos/Amostrados

(Quantização):

cada ponto pode ser

digitalizado

Page 3: ELETRÔNICA DIGITAL II - joinville.ifsc.edu.brmichael.klug/DIG2/aula0_Introducao... · Linguagens de Descrição de Hardware •HDL: Hardware Description Languages – metodologia

“MUNDO REAL”

• Predominantemente analógico

Conversores:

Analógico Digital

3

Prof. Michael

Analógico Digital

(ADC) e Digital

Analógico (DAC)

Page 4: ELETRÔNICA DIGITAL II - joinville.ifsc.edu.brmichael.klug/DIG2/aula0_Introducao... · Linguagens de Descrição de Hardware •HDL: Hardware Description Languages – metodologia

Circuitos Lógicos

Os circuitos lógicos podem ser classificados em dois

tipos:

• Circuitos Combinacionais: As saídas em qualquer

instante de tempo dependem apenas dos valores das

entradas nesse instante de tempo.

4

entradas nesse instante de tempo.

• Circuitos Seqüenciais: As saídas em um dado instante

de tempo dependem não só dos valores das entradas

nesse instante de tempo, mas também dos valores em

instantes anteriores: ou seja, estes circuitos possuem

memória.

Prof. Michael

Page 5: ELETRÔNICA DIGITAL II - joinville.ifsc.edu.brmichael.klug/DIG2/aula0_Introducao... · Linguagens de Descrição de Hardware •HDL: Hardware Description Languages – metodologia

Contextualização

• Árvore das Tecnologias:

5

Prof. Michael

FPGAs: Arranjo de Portas Programáveis por Campo

Page 6: ELETRÔNICA DIGITAL II - joinville.ifsc.edu.brmichael.klug/DIG2/aula0_Introducao... · Linguagens de Descrição de Hardware •HDL: Hardware Description Languages – metodologia

FPGAs• Consiste de um grande arranjo de células lógicas ou blocos

lógicos configuráveis contidos em um único circuito integrado;

6

• Cada célula tem a capacidade computacional para

implementar funções lógicas e realizar roteamento para

comunicação entre elas.Prof. Michael

Page 7: ELETRÔNICA DIGITAL II - joinville.ifsc.edu.brmichael.klug/DIG2/aula0_Introducao... · Linguagens de Descrição de Hardware •HDL: Hardware Description Languages – metodologia

Origem das FPGAs

• Anos 80: maioria dos sistemas eram implementados

usando circuitos integrados MSI e LSI

• CIs customizados eram muitas vezes projetados para

substituir uma grande quantidade de lógica:

-> Alto custo e tempo longo de desenvolvimento

7

-> Alto custo e tempo longo de desenvolvimento

-> Portanto, o uso de CIs customizados só era viável

para produtos com elevado volume de fabricação.

• A Xilinx introduziu em 1984 a tecnologia FPGA como

uma alternativa para os CIs customizados

Prof. Michael

Page 8: ELETRÔNICA DIGITAL II - joinville.ifsc.edu.brmichael.klug/DIG2/aula0_Introducao... · Linguagens de Descrição de Hardware •HDL: Hardware Description Languages – metodologia

Linguagens de Descrição de Hardware

• HDL: Hardware Description Languages – metodologiaalternativa aos esquemáticos para descrição de circuitosdigitais (Departamento de Defesa dos USA – 1980)

�Verilog e VHDL

VHDL – Very High Speed Integrated Circuit Hardware

8

•VHDL – Very High Speed Integrated Circuit HardwareDescription Language.

•AHDL – Altera Hardware Description Language.• Padrão IEEE -> IEEE 1076-2008

Prof. Michael

Page 9: ELETRÔNICA DIGITAL II - joinville.ifsc.edu.brmichael.klug/DIG2/aula0_Introducao... · Linguagens de Descrição de Hardware •HDL: Hardware Description Languages – metodologia

Linguagens de Descrição de Hardware

O VHDL usa uma sintaxe (ordem dos elementos) similar ao

Pascal.

A Formatação está relacionada com a definição de entradas,

saídas (portas) e operações dos diferentes elementos.

DOCUMENTAÇÃO

9

Prof. Michael

Nome do bloco

Entradas e

saídas

Operações

contidas entre

BEGIN e AND

DOCUMENTAÇÃO

ENTRADAS E SAÍDAS

DESCRIÇÃOFUNCIONAL

Page 10: ELETRÔNICA DIGITAL II - joinville.ifsc.edu.brmichael.klug/DIG2/aula0_Introducao... · Linguagens de Descrição de Hardware •HDL: Hardware Description Languages – metodologia

HDL – Exemplo de Projeto

Modelamento Comportamental: Define a função de

entrada/saída especificando um conjunto de funções de

transferências matemáticas.

Prof. Michael

Page 11: ELETRÔNICA DIGITAL II - joinville.ifsc.edu.brmichael.klug/DIG2/aula0_Introducao... · Linguagens de Descrição de Hardware •HDL: Hardware Description Languages – metodologia

FPGAs - Paralelismo

• Fabricantes

11

Prof. Michael

Page 12: ELETRÔNICA DIGITAL II - joinville.ifsc.edu.brmichael.klug/DIG2/aula0_Introducao... · Linguagens de Descrição de Hardware •HDL: Hardware Description Languages – metodologia

PLDs (Programmable Logic Devices)

• Fabricantes

12

Prof. Michael

Page 13: ELETRÔNICA DIGITAL II - joinville.ifsc.edu.brmichael.klug/DIG2/aula0_Introducao... · Linguagens de Descrição de Hardware •HDL: Hardware Description Languages – metodologia

Revisão: CIRCUITOS LÓGICOS

• Operações Lógicas Básicas:

• Funções Lógicas Básicas:

– Comparação

13

Prof. Michael

– Comparação

– Aritméticas (adição, subtração, multiplicação e divisão)

– Conversão de código (codificação e decodificação)

– Seleção de dados (multiplexação e demultiplexação),

– Armazenamento (registradores e memórias) e

– Contagem

Page 14: ELETRÔNICA DIGITAL II - joinville.ifsc.edu.brmichael.klug/DIG2/aula0_Introducao... · Linguagens de Descrição de Hardware •HDL: Hardware Description Languages – metodologia

Revisão: CIRCUITOS LÓGICOS

• Função de Comparação:

14

Prof. Michael

• Funções Aritméticas:

Page 15: ELETRÔNICA DIGITAL II - joinville.ifsc.edu.brmichael.klug/DIG2/aula0_Introducao... · Linguagens de Descrição de Hardware •HDL: Hardware Description Languages – metodologia

Revisão: CIRCUITOS LÓGICOS

• Função de Conversão de Códigos:

Codificação

15

Prof. Michael

Decodificação

Page 16: ELETRÔNICA DIGITAL II - joinville.ifsc.edu.brmichael.klug/DIG2/aula0_Introducao... · Linguagens de Descrição de Hardware •HDL: Hardware Description Languages – metodologia

Revisão: CIRCUITOS LÓGICOS

• Função de Seleção de Dados:

16

Prof. Michael

• Funções de Armazenamento e Contagem – a serem

estudadas na disciplina de Eletrônica Digital II