Unidad 404a

33
INSTITUTO TECNOLÓGICO SUPERIOR DE MISANTLA INVESTIGACIÓN DE LA UNIDAD 3 CARRERA: Ing. Electromecánica SEMESTRE: GRUPO: 404 “A” ELECTRONICA DIGITAL TITULAR: ING. VICTOR JOEL LOEZA Y HERNÁNDEZ

description

save

Transcript of Unidad 404a

INSTITUTO TECNOLGICO SUPERIOR DE MISANTLA

INVESTIGACIN DE LA UNIDAD 3

CARRERA: Ing. Electromecnica

SEMESTRE: 4

GRUPO: 404 A

ELECTRONICA DIGITAL TITULAR: ING. VICTOR JOEL LOEZA Y HERNNDEZ

ALUMNOS: URIEL CRUZ HERNANDEZ

FECHA DE ENTREGA: MARTES, 21 DE ABRIL DEL 2013.4.1. DISEO DE CIRCUITOS GENERADORES DE PULSOS DE RELOJ

El circuito generador de pulsos de reloj lo podemos disear con diferentes tipos de circuitos integrados, su funcin es la de enviar una seal en determinado momento o tiempo haciendo clculo de estos mismos, el diseo de este generador de pulso es de gran importancia porque su uso va desde sencillos circuitos para hacerprender un led endeterminado tiempo hasta controlar el tiempo enque una bomba se debe prender yapagar en determinado momento, tiene mltiples funciones que lonico que la limita es tuimaginacin.

Temporizador555Existenenelmercadounconjuntodecircuitosintegradosdenominadostemporizadores(timers)especialmentediseadospararealizarmultivibradores monoestables y estables. El temporizador 555 (NE555de Signetics en versin bipolar y TLC555 de Texas Instruments en versin CMOS) es un circuito integrado barato y muy popular que fue desarrollado en 1972 por Signetics Corporation.

En la gura 1 se muestra el diagrama circuital de este temporizador. Est constituido por dos comparadores, un ip-op SR y un transistor que acta como un elemento de conmutacin. Las tres resistencias en serie de valor R denen las tensiones de comparacin a 1/3VCCY 2/3VCC.

En la gura 2 se presenta al 555 en la conguracin monoestable. Al aplicar un pulso negativo en Vi con una tensin inferior a 1/3VCC, el condensador C se carga libremente a travs de R. Este proceso de carga nalizar cuando la Vc=2/3VCC, en cuyo caso se produce la descarga brusca de C a travs del transistor de salida. El pulso de salida tiene una duracin T, especicado por el tiempo que tarda el condensador en pasar de0Va 2/3VCC, viene denido por la siguiente ecuacin T=RCln31,1R.

En la gura 3 se presenta al 555 en la conguracin multivibrador estable. En este caso el condensador vara su tensin entre 1/3VCCY 2/3VCC. El proceso de carga se realiza a travs de RA+RB y el de descarga a travs de RB. Como resultado se genera a la salida una onda cuadrada no-simtrica denido por dos tiempos T1 Y T2.T1=(RA+RB) Cln2R0,69 (RA+RB) Cy T2=RBCln20,69RC

4.2. PARAMETROS ELECTRICOS DE LAS SEALES DE RELOJ UTILIZADAS EN CIRCUITOS SECUENCIALES

A diferencia de los circuitos combinacionales, en los circuitos secuenciales se guarda memoria de estado. Las salidas no dependen tan solo del valor de las entradas en un instante dado, sino que tambin estn determinadas por el estado almacenado en el circuito. Dicho de otra forma, un circuito secuencial tiene memoria. En los circuitos secuenciales se distinguir entre circuitos secuenciales asncronos y sncronos.Un circuito secuencial asncrono evoluciona ante cualquier cambio en las entradas de forma inmediata, no tiene periodicidad de funcionamiento, se rige por eventos. Aunque los circuitos secuenciales ms bsicos siempre tendrn una parte con comportamiento asncrono, para los circuitos secuenciales complejos no es deseable que sigan este comportamiento (los cambios de estado se producen de forma espordica, ante eventos en las entradas, sin periodicidad, se pueden producir comportamientos que dependen del orden de sucesin de eventos cuando no se desea ese comportamiento etc.)Los circuitos secuenciales complejos se disean para comportamiento sncrono, los cambios se producen de forma peridica y controlada, ante cambios de una seal denominada seal de reloj (clock). Todas las entradas se muestrean de forma simultnea en un instante determinado por la seal de reloj, la evolucin del estado y las salidas queda determinada por el valor que tenan las entradas y el estado en el instante de muestreo. Se puede decir que el sistema evoluciona entre estados discretos para instantes (k-1)T, kT, (k+1)T, ..., siendo T el periodo de relojComo se menciono antes los circuitos secuenciales tienen una caracterstica, tienen unidades de memoria, hechas a base de biestables.

Biestables

Como se ha indicado, los biestables o flip-flops son elementos capaces de memorizar un estado (uno o cero lgicos). Se trata de elementos bsicos de memoria, con capacidad para memorizar una sola variable digital, es decir, son elementos de memoria de 1 bit.

Biestable JKSncrono con cambio por flanco de relojAqu se presenta el biestable sncrono ms completo, en su modalidad de disparo por flanco negativo de reloj y con entradas de reset y preseleccin.

Los filp-flops son utilizados para hacer contadores, registros, son las bases de las memorias actuales, aunque ya no los tengan fsicamente incorporados.

ContadoresLos contadores son circuitos secuenciales que cambian de estado ante cambio de una seal de entrada evolucionando cclicamente entre un nmero concreto de estados. En los contadores sncronos la seal que marca el cambio de estado es, bsicamente, la seal de reloj. Existen muy variados tipos de contadores para aplicaciones muy diversas aplicaciones.A continuacin se presentan un par de circuitos utilizando flip-flop tipo JK y tipo D. Si se desea realizar un contador que cuente en decimal, es decir mdulo 10 o si, en general, se desea realizar un contador con ciclo de cuenta que no sea potencia de 2, es necesaria lgica adicional para llevar a cero el estado cuando se alcanza el valor final de cuenta cclica

RegistrosEn su visin bsica un registro paralelo de n bits realiza la misma funcin que el biestable D para 1 bit, es decir, ante pulso de reloj cambia el estado para que refleje el valor de un conjunto de entradas. Dicho estado se mantiene hasta el siguiente pulso de reloj. En la Figura siguiente se presenta un registro paralelo de 4 bits, ante flanco descendente de reloj, las variables de estado (y salida) Q0 a Q3 toman los valores de las entradas D0 a D3.

Aplicaciones de sistemas secuencialesComo ya hemos comentado, los sistemas secuenciales forman un conjunto de circuitos muy importantes en la vida cotidiana. En cualquier elemento que sea necesario almacenar algn parmetro, es necesario un sistema secuencial. As, cualquier elemento de programacin (o lo que es lo mismo, con ms de una funcin) necesita un sistema secuencial.A modo de ejemplo, expondremos el caso de una mquina de refrescos. En esta mquina iremos introduciendo monedas hasta alcanzar o sobrepasar el valor del refresco que deseamos sacar. Por lo tanto, en este sistema se debe almacenar una serie de datos, como pueden ser:Los precios de los productos ofertados.

Estado de existencia de los mismos.Cantidad de dinero que hayamos introducido en la mquina hasta el momento. As, vemos que es necesario almacenar temporalmente una serie de datos, por lo que nos encontramos ante un sistema secuencial.4.3. FLIP FLOPS (R-S, T, D, J-K)Flip-flop o LatchEl elemento de memoria que se utiliza ms ampliamente es Flip flop (FF) que est dentro del grupo de los multivibradores biestables. El FF es un circuito lgico con dos salidas Q y Q, donde Q es el complemento de la salida Q. La principal diferencia entre los diversos tipos de FF es el nmero de entradas que poseen y la manera en la cual las entradas afectan el estado binario.

Latch SRLa unidad secuencial bsica es el lazo SR. A partir de este lazo se construyen todos los FF. El lazo bsico SR tiene dos entradas S y R y dos salidas Q y Q, las cuales siempre son opuestas. Las entradas S (Set = activar) pone a 1 la salida Q, y R (Reset = restablecer) restablece la salida Q a 0.

Latch SR sncronoEn la figura 5.2 (a) se muestran los circuitos internos de un Flip flop SR, el lazo SR lo realiza con dos compuertas NOR por lo tanto este FF tienen entradas activas altas.

Tabla caractersticaLa tabla caracterstica, puede ser til para el anlisis de la definicin de la operacin del FF. sta especifica al estado siguiente Q(t+1) cuando las entradas y el estado presente Q(t) se conocen. El comportamiento completo se puede ver en tabla 5.1.

Tabla de excitacin de S-RDurante el proceso de diseo se conocen por lo general la transicin del estado presente al estado siguiente al que se desea: encontrar las condiciones de entrada del FF que causen la transicin requerida. Y por esta razn se necesita una tabla que liste las entradas necesarias para un cambio de estado dado. A este tipo de tabla se le conoce como tabla de excitacin, en la Tabla 5.2 se presenta la tabla de excitacin de los Flip Flop S-R.

Flip-flop JKEl Flip Flop J-K es simplemente una versin modificada del S-R para que ambas entradas puedan activarse al mismo tiempo. Para el FF S-R est condicin fue considerada no vlida, en el caso del FF JK est en una condicin de salida permitida sobre ciclos de reloj sucesivos. ste comportamiento y la representacin estndar del FF J-K se ilustra en la figura 5.3.

Flip-flop DEl Flip flop D (Data) tiene una entrada de control D y dos salidas Q y Q. Es una versin modificada del S-R mediante un inversor colocado entre las terminales S y R. La disposicin del circuito se puede observar en la figura 5.4 (b). Se observa que el patrn de la onda lgica Q es un duplicado exacto de la onda de datos de entrada D con un retraso de tiempo.

Flip-flop TEl Flip flop T (Toggle) tiene slo una entrada de control y es una variante del FF J-K. Esta se obtiene interconectando las dos entradas J y K para generar una sola entrada T. Observar figura 5.5.

4.4. REGISTROS DE CORRIMIENTO

Registros de CorrimientoUn registro de corrimiento es un circuito secuencial sncrono capaz de contractar varios bits de informacin. El formato de esta informacin puede ser de dos tipos:

Serie: los bits se transfieren uno a continuacin del otro por una misma lnea. Paralelo: se intercambian todos los bits al mismo tiempo, utilizando un nmero de lneas de transferencia igual al nmero de bits.

REGISTROS CON ENTRADA SERIE Y SALIDA SERIE

A continuacin se muestra un registro de desplazamiento con entrada y salida en serie de 5 bits formado con biestables maestro esclavo RS:

Observamos que la entrada S del primer biestable est conectado a la entrada y est negada a la entrada R. Con esto se consigue que, cuando en la entrada haya un 1, el primer biestable contendr un 1 (Q=1, Q=0) y los dems un 0. Con la siguiente seal de reloj el bit almacenado en el primer biestable se desplazar al siguiente y as un tras otro hasta la salida en serie. Esto sucede as porque la salida Q est conectada a la S del siguiente biestable. Tambin podemos observar que los biestables nunca pueden estar en estado de mantenimiento o en estado prohibido, ya que la entrada enserie pasa afirmada a la S y negada a la R.Los registros de desplazamiento se implementan con biestables maestro esclavo, pues son capaces de almacenar la informacin un flanco, y transmitirla durante el siguiente.Cuando el registro se efecta de izquierda a derecha se denomina desplazamiento hacia la derecha. Si el registro combina ambos tipos se llama bidireccional.

REGISTROS CON ENTRADA SERIE Y SALIDA PARALELO

La estructura de un registro serie paralelo es muy similar a la de un registro con entrada y salidas en serie:

Observamos que la nica diferencia es que se le aade una salida a cada una de las salidas Q del biestable: de esta manera se pueden obtener todos los datos a la vez. Por otro lado, tambin se puede obtener una salida en serie de cualquier salida Q o Q.Habitualmente se suele aadir una entrada de puesta a cero asncrona (CLEAR) cuya funcin es inicializar el registro.En ltimo lugar destacar que estos registros se suelen utilizar para el cambio de una palabra de serie a paralelo.

REGISTROS CON ENTRADA PARALELO Y SALIDA SERIE

A continuacin se muestra un esquema de un registro con entrada paralelo y salida serie y carga asncrona.

El funcionamiento es el siguiente: cuando en la entrada de seleccin desplazamiento /carga hay un 0 se realiza la carga. Con el inversor este cero se convierte en un 1 y por lo tanto las puertas NAND que hay arriba y debajo de los biestables se convierten en inversores.A continuacin se introducen los datos: en el bit que haya un 1, se activa el Preset, y en el que haya un cero, se activa el Clear.Para el desplazamiento se coloca un 1 en D/C de esta manera se consigue que nunca se activan las entradas ni PR ni CL, ya que de las puertas NAND siempre saldr un 1. El desplazamiento se realiza como en un registro serie-serie.

A continuacin se muestra un registro con carga paralelo y salida serie pero en este caso la carga es sncrono, ya que se carga por las entradas sncronas

Observamos que esto se consigue con un multiplexor de dos canales gobernado por DESPLAZAMIENTO/ CARGA. Con esto se consigue que si se quiere cargar los datos, se activan las entradas en paralelo que van cada una a las entradas S R. Para obtener los datos se tiene que realizar la entrada serie.En conclusin, podemos observar que la funcin del multiplexor es elegir entre la carga en serie o en paralelo.

REGISTRO DE ENTRADA Y SALIDA EN PARALELO

Como se puede ver, se ha creado un registro de entrada y salida paralelo a partir de biestables D con entrada de habilitacin. La entrada de datos es cada una de las entradas D del biestable; la entrada de habilitacin se une a una entrada de habilitacin global, de manera que cuando se activa, permite que se lean los datos. Hay otra entrada (control de salida) que al activarse permite que se lean las salidas. Aqu hemos utilizado puertas AND, aunque tambin podramos haber utilizados puertas OR y un inversor, o tambin buffers con entradas de alta impedancia.

4.5. CONTADORESEn electrnica digital, Un contador (counter en ingls) es un circuito secuencial construido a partir de biestables y puertas lgicas capaz de realizar el cmputo de los impulsos que recibe en la entrada destinada a tal efecto, almacenar datos o actuar como divisor de frecuencia. Habitualmente, el cmputo se realiza en un cdigo binario, que con frecuencia ser el binario natural o el BCD natural (contador de dcadas).

Clasificacin de los contadores de circuito secuencial

Segn la forma en que conmutan los biestables, podemos hablar de contadores sncronos (todos los biestables conmutan a la vez, con una seal de reloj comn) o asncronos (el reloj no es comn y los biestables conmutan uno tras otro).

Segn el sentido de la cuenta, se distinguen en ascendentes, descendentes y UP-DOWN (ascendentes o descendentes segn la seal de control).

Segn la cantidad de nmeros que pueden contar, se puede hablar de contadores binarios de n bits (cuentan todos los nmeros posibles de n bits, desde 0 hasta), contadores BCD (cuentan del 0 al 9) y contadores Mdulo N (cuentan desde el 0 hasta el N-cuarto.El nmero mximo de estados por los que pasa un contador se denomina mdulo del contador. Este nmero viene determinado por la expresin 2^n donde n indica el nmero de bits del contador. Ejemplo, un contador de mdulo 4 pasa por 4 estados, y contara del 0 al 3. Si necesitamos un contador con un mdulo distinto de 2^n, lo que haremos es aadir un circuito combinacional.

4.6. MODELOS DE CIRCUITOS SECUENCIALES SINCRONOSLos circuitos secunciales, de la misma forma que los combinacionales, estn constituidos por puertas lgicas, y como en estos ltimos, la escala de integracin de la mayora de los circuitos disponibles en catalogo es la MSI. Sin embargo, presentan unas caractersticas muy singulares que describiremos a continuacin.

A diferencia de los circuitos combinacionales, en los secuenciales, los valores de las salidas en un momento dado no dependen exclusivamente de los valores aplicados en las entradas en ese instante, sino tambin de los que estuviesen presentes con anterioridad.

Puede ocurrir, por lo tanto, que para iguales valores en las entradas se puedan obtener estados distintos en las salidas en momentos diferentes.

La respuesta de un circuito de estas caractersticas, frente a una secuencia de valores aplicada a las entradas, depende de su constitucin fsica.

Los circuitos secuenciales tienen capacidad para recordar o memorizar los valores de las variables de entrada. Esta operacin es imprescindible en los sistemas automticos construidos con circuitos digitales, sobre todo en los programables, de los cuales nos ocuparemos mas adelante.

El almacenamiento o memorizacin de la informacin presente en la puerta del circuito se realiza gracias a la existencia de unas variables denominadas de estado interno, cuyo valor se ver afectado por los cambios producidos en la combinacin binaria aplicada a la entrada.

Existen dos grandes tipos de circuitos secuenciales:

a) Maquina de Mealy. En este tipo de circuitos, las salidas dependen, en cada instante de los valores de los elementos de memoria y de las entradas presentes en ese instante.

Aqu, para cada estado, podemos tener tantas salidas como combinaciones tengarnos en las entradas.

b) Maquina de Moore. Aqu las salidas en cada instante dependen exclusivamente de los estados de los elementos de memoria, y no dependen directamente de las entradas en ese instante. Los valores de las entradas, sirven para modificar las diversas transiciones entre estados.

Otra importante divisin de los circuitos secuenciales es entre sncronos y asncronos

Los sncronos, requieren una seal de control procedente de un generador externo al propio circuito, que funciona como llave, de modo que si no se aplica dicha seal no se hacen efectivos los valores presentes en las entradas. Este mtodo se emplea cuando el sistema electrnico es complejo y los tiempos de conmutacin de los diversos dispositivos que lo constituyen son distintos. La seal de control, tambin denominada reloj (Clock, o Clock Pulse en ingls), se aplica a las entradas del mismo nombre de cada bloque integrado para sincronizar la transmisin de datos 0 informacin a travs del sistema. La frecuencia de la seal elctrica debe adaptarse a la velocidad de conmutacin del dispositivo mas lento del circuito.

En cambio, los sistemas secuenciales asncronos no poseen entrada de reloj, y los cambios en las variables de estado interno y en los valores de salida se producen, sencillamente, al variar los valores de las entradas del circuito

Aqu nos vamos a centrar especialmente en el estudio de los biestables (loo circuitos secuenciales ms elementales, capaces de almacenar, si no existe orden exterior de cambio, la informacin en ellos contenida), junto con los contadores y registros de desplazan1iento, que, como observaremos, son tambin circuitos secuenciales fonl1ados por una cadena de biestables. Todos estos dispositivos son de aplicacin general, y de importancia fundamental en cualquier sistema digital.

Basculas sncronas

Dentro de los sistemas sncronos tenemos dos tipos:

Sncronas sencillas, o por nivel

En ellas, las entradas solo tienen actuacin sobre la bscula (se validan) cuando el nivel lgico en la entrada de reloj esta alto o bajo (segn el sistema). Esta caracterstica obliga a que las salidas solo puedan variar cuando la entrada de reloj este a nivel de paso (de activacin).

Sincronizadas (Edge-Triggered), o por flanco

En las basculas que adoptan este sistema de sincronismo, la informacin presente en las entradas solo se tiene en cuenta cuando la seal de reloj cambia de nivel, es decir, durante el tiempo de subida o de bajada, dependiendo del caso.

Todos los tipos de bsculas que vamos a exponer pueden adoptar ambos tipos de sincronizacin, y esto lo reflejamos en la simbologa utilizada As:

Sncronas sencillas Sincronizadas sencillas ( Edge-Triggered )La entrada CP ("Clock Pulse"), es la correspondiente a los pulsos del reloj.

Bascula "RS" (sncrona)Esta bascula tiene el siguiente diagrama de tiempos (la vamos a realizar con activacin por nivel "1" de CP).

La denominacin "D" viene de "Datos" (sirve para realizar una transferencia de datos cuando la seal de cotrol indique, que es la funcion del "cerrojo"),

La tabla de la verdad:

Y la ecuacin resultante es: Q t+D t= DCP+CPQ tBascula "T" (sncrona)Es una bscula bastante empleada, y posee una arquitectura bastante similar a la del flip-flop tipo "D", Se mantiene o niega el valor de Ia salida en funcin del valor de Ia entrada. T (si es un "0" lo mantiene, y si es un "1" lo niega), La tabla de la verdad de un biestable T" activado por flanco de subida, es la siguiente'

EI smbolo "indica que solo se utilizara el valor de la salida al llegar un flanco de subida al reloj, mantenindose el valor anterior hasta ese momento,

Para obtener la bscula "T asncrona a partir de esta bascula con poner a "1" la entrada "T (cada vez que hay un flanco de subida se invierte Ia salida con lo que se obtiene una salida de frecuencia mitad que la de la entrada de reloj),

4.7. ANALISIS Y SISNTESIS DE CIRCUITOS SECUENCIALES SINCRONOS.

En la lgica combinacional los circuitos producen una respuesta instantnea, es decir, las salidas se pueden calcular a partir de la combinacin de los valores de las entradas en el mismo instante. La lgica combinacional no sirve para construir circuitos que con capacidad de memoria, es decir, funciones lgicas cuya salida en el instante presente depende de entradas en el pasado. Es entonces, cuando los circuitos secuenciales aparecen y cobran relevancia conceptos que no eran tan trascendentes para los circuitos combinacionales, algunos de estos conceptos son: instante presente, instante siguiente, estado, retroalimentacin, tiempo de propagacin, sincronizacin, memoria, secuencia, conteo, etc. Obsrvese que el principal concepto involucrado en todos los anteriores es el tiempo.Los circuitos considerados hasta aqu, tienen la caracterstica de que su salida depende solamente de la combinacin presente de valores de las entradas, es decir, a una misma combinacin de entrada responden siempre con la misma salida. Debido a esto, estos circuitos se denominan combinacionales.Los circuitos combinacionales tienen muchas limitantes debido a que no son capaces de reconocer el orden en que se van presentando las combinaciones de entradas con respecto al tiempo, es decir, no pueden reconocer una secuencia de combinaciones, ya que no poseen una manera de almacenar informacin pasada, es decir no poseen memoria.Un circuito cuya salida depende no solo de la combinacin de entrada, sino tambin de la historia de las entradas anteriores se denomina Circuito Secuencial. La historia de las entradas anteriores en un momento dado se encuentra resumida en el estado del circuito, el cual se expresa en un conjunto de variables de estado.El circuito secuencial debe ser capaz de mantener su estado durante algn tiempo, para ello se hace necesario el uso de dispositivos de memoria. Los dispositivos de memoria utilizados en circuitos secuenciales pueden ser tan sencillos como un simple retardador (inclusive, se puede usar el retardo natural asociado a las compuertas lgicas) o tan complejos como un circuito completo de memoria denominado multivibrador biestable o Flip Flop.Como puede verse entonces, en los circuitos secuenciales entra un factor que no se haba considerado en los combinacionales, dicho factor es el tiempo. De hecho, los circuitos secuenciales se clasifican de acuerdo a la manera como manejan el tiempo en circuitos secuenciales sncronos y circuitos secuenciales asncronos.En un circuito secuencial asncrono, los cambios de estado ocurren al ritmo natural marcado por los retardos asociados a las compuertas lgicas utilizadas en su implementacin, es decir, estos circuitos no usan elementos especiales de memoria, pues se sirven de los retardos propios (tiempos de propagacin) de las compuertas lgicas usados en ellos. Esta manera de operar puede ocasionar algunos problemas de funcionamiento, ya que estos retardos naturales no estn bajo el control del diseador y adems no son idnticos en cada compuerta lgica.Los circuitos secuenciales sncronos, slo permiten un cambio de estado en los instantes marcados por una seal de sincronismo de tipo oscilatorio denominada reloj. Con esto se pueden evitar los problemas que tienen los circuitos asncronos originados por cambios de estado no uniformes en todo el circuito.Un circuito secuencial puede entenderse simplemente como un circuito combinacional en el cual las salidas dependen tanto de las entradas como de las salidas en instantes anteriores, esto implica una retroalimentacin de la salida.

Modelo de Moore.- Un modelo ms completo de lo que puede ser un circuito secuencial es el denominado Modelo de Moore, cuya estructura se presenta en la siguiente figura.El modelo de Moore consiste en dos bloques (circuitos) de lgica combinacional mas un bloque deMemoria - La lgica de estado siguiente que define la manera de generar las variables de estado a partir de las entradas.- La Lgica de salida que define la manera en que se obtienen las salidas del circuito a partir de las variables de estado.Este modelo tiene la particularidad de que las salidas slo son funcin de las variables de estado, es decir, del estado presente. Por ello, cuando en un circuito, las salidas solo dependen de las variables de estado, se les llama Salidas tipo Moore.

Modelo de Mealy.- En un modelo ms completo, las salidas en instantes anteriores estn expresadas por un conjunto de variables de estado, de manera que las salidas actuales dependen tanto de las entradas como de las variables de estado las cuales son guardadas en dispositivos de memoria. Este es el modelo ms completo de un circuito secuencial y se denomina Modelo de Mealy.A este tipo de salidas se les llama Salidas tipo Mealy.En general, un circuito secuencial puede ser una combinacin de los tres modelos presentados arriba, es decir, puede poseer salidas tanto tipo Mealy como Tipo Moore, o slo tipo Moore, o puede inclusive no tener dispositivos de memoria y funcionar solamente con la memoria asociada a los retardos naturales de las compuertas lgicas.

ANALIS Y SINTESIS DE CIRCUITOS SECUENCIALESEl comportamiento de un circuito secuencial se determina mediante las entradas, las salidas y los estados de sus flip-flops. Tanto las salidas como el estado siguiente son funcin de las entradas y del estado presente. El anlisis de los circuitos secuenciales consiste en obtener una tabla o un diagrama de las secuencias de tiempo de las entradas, salidas y estados internos. Tambin es posible escribir expresiones booleanas que describen el comportamiento de los circuitos secuenciales. Sin embargo, esas expresiones deben incluir la secuencia de tiempo necesaria ya sea en forma directa o indirecta.Un diagrama lgico se reconoce como el circuito de un circuito secuencial e incluye los flip-flops. Los flip-flops puede ser cualquier tipo y el diagrama lgico puede o no incluir compuertas combinacionales.Un circuito lgico secuencial puede estar compuesto por compuertas y/o flip-flops interconectados en configuraciones quizs complejas que generalmente incluyen algn tipo de realimentacin. El circuito se considera asincrnico si no emplea una seal de reloj peridica C para sincronizar cambios de estado interno. Por consiguiente, los cambios de estado ocurren como respuesta directa a los cambios de seal en las lneas de entradas primarias (Datos) y distintos elementos de memoria pueden cambiar de estado en instantes diferentes.