Desenvolvimento de Ambiente para Caracterização Automática ... · Página 5 Agradecimentos Os...

114
UNIVERSIDADE NOVA DE LISBOA FACULDADE DE CIÊNCIAS E TECNOLOGIA Departamento de Engenharia Electrotécnica Desenvolvimento de Ambiente para Caracterização Automática de PLLs Por Pedro Miguel Ribeiro Pereira Orientadora: Prof.ª Doutora Maria Helena Fino Lisboa 2005 Dissertação apresentada na Faculdade de Ciências e Tecnologia da Universidade Nova de Lisboa para obtenção do grau de Mestre em Engenharia Electrotécnica e de Computadores

Transcript of Desenvolvimento de Ambiente para Caracterização Automática ... · Página 5 Agradecimentos Os...

Page 1: Desenvolvimento de Ambiente para Caracterização Automática ... · Página 5 Agradecimentos Os meus sinceros agradecimentos à minha orientadora, Prof. Doutora Maria Helena Fino,

UNIVERSIDADE NOVA DE LISBOA

FACULDADE DE CIÊNCIAS E TECNOLOGIA

Departamento de Engenharia Electrotécnica

Desenvolvimento de Ambiente para

Caracterização Automática de PLLs

Por

Pedro Miguel Ribeiro Pereira

Orientadora: Prof.ª Doutora Maria Helena Fino

Lisboa

2005

Dissertação apresentada na Faculdade de Ciências e

Tecnologia da Universidade Nova de Lisboa para

obtenção do grau de Mestre em Engenharia

Electrotécnica e de Computadores

Page 2: Desenvolvimento de Ambiente para Caracterização Automática ... · Página 5 Agradecimentos Os meus sinceros agradecimentos à minha orientadora, Prof. Doutora Maria Helena Fino,

Página 2

Page 3: Desenvolvimento de Ambiente para Caracterização Automática ... · Página 5 Agradecimentos Os meus sinceros agradecimentos à minha orientadora, Prof. Doutora Maria Helena Fino,

Página 3

Page 4: Desenvolvimento de Ambiente para Caracterização Automática ... · Página 5 Agradecimentos Os meus sinceros agradecimentos à minha orientadora, Prof. Doutora Maria Helena Fino,

Página 4

Page 5: Desenvolvimento de Ambiente para Caracterização Automática ... · Página 5 Agradecimentos Os meus sinceros agradecimentos à minha orientadora, Prof. Doutora Maria Helena Fino,

Página 5

Agradecimentos

Os meus sinceros agradecimentos à minha orientadora, Prof. Doutora Maria Helena Fino, que,

pela sua singular personalidade e elevada capacidade de trabalho, colaborou através de sugestões e

críticas, deveras úteis para a realização deste trabalho, assim como, pelas revisões feitas à redacção do

documento.

Ao Prof. Doutor Amadeu Leão Rodrigues, pela oportunidade de participar no projecto

CLIMBER, tornando possível uma maior disponibilidade para a realização do presente trabalho,

desejo manifestar o meu agradecimento.

Um especial agradecimento à minha família, devido à pouca disponibilidade que tive ao longo

deste último ano para estar com eles, especialmente com o pequeno Diogo. Em especial aos meus pais,

por tudo o que fizeram por mim, em particular pelo esforço financeiro que fizeram ao longo dos

últimos anos, sem o qual não teria sido possível chegar até aqui. A todos eles o meu muito obrigado.

Page 6: Desenvolvimento de Ambiente para Caracterização Automática ... · Página 5 Agradecimentos Os meus sinceros agradecimentos à minha orientadora, Prof. Doutora Maria Helena Fino,

Página 6

Page 7: Desenvolvimento de Ambiente para Caracterização Automática ... · Página 5 Agradecimentos Os meus sinceros agradecimentos à minha orientadora, Prof. Doutora Maria Helena Fino,

Página 7

Sumário

Os PLLs constituem uma classe de sistemas largamente utilizados numa vasta gama de

aplicações incluindo não só sistemas de comunicação como aplicações de reconstituição de relógio em

sistemas digitais. A necessidade de projectar os circuitos em tempos competitivos e com elevada

fiabilidade torna imperiosa a utilização de programas de apoio ao projecto automático dos circuitos.

A existência de programas que permitam o desenvolvimento automático de modelos dos

componentes de PLLs é fundamental por forma a tornar possível a simulação a nível comportamental

dos referidos circuitos.

No presente trabalho é desenvolvido um ambiente para geração automática de modelos dos

diversos elementos constituintes dos PLLs. Para tal, é apresentado um estudo dos conceitos associados

ao funcionamento de PLLs bem como do funcionamento de blocos integrantes. São também alvo de

estudo, diversas topologias para os diferentes blocos dos PLLs.

Após a descrição dos elementos dos PLLs, são desenvolvidos modelos comportamentais que

permitem caracterizar de forma simples e precisa os referidos elementos, tornando possível a

simulação a nível comportamental dos PLLs. A validação dos modelos desenvolvidos é realizada por

ligação ao simulador Hspice. São apresentados três exemplos que ilustram a concordância entre os

resultados obtidos com os modelos propostos e os obtidos por simulação.

Page 8: Desenvolvimento de Ambiente para Caracterização Automática ... · Página 5 Agradecimentos Os meus sinceros agradecimentos à minha orientadora, Prof. Doutora Maria Helena Fino,

Página 8

Page 9: Desenvolvimento de Ambiente para Caracterização Automática ... · Página 5 Agradecimentos Os meus sinceros agradecimentos à minha orientadora, Prof. Doutora Maria Helena Fino,

Página 9

Abstract

The PLLs belong to a class of systems which are widely used in a large range of applications

including not only communication systems, but also applications of clock reconstitution in digital

systems. The need to produce highly reliable circuits in competitive time makes the use of support

software associated to the automatic design of the circuits absolutely necessary.

The existence of software which allows the automatic development of models of the PLLs

elements is essential to make the simulation of the behaviour of the mentioned circuits possible.

In this work, an application for the automatic generation of models of the PLLs elements is

developed. So, a study is undertaken in order to broaden concepts associated with the operation of

PLLs and to present the functioning of the integrant blocks. Several topologies concerning different

blocks of the PLLs are also a matter of study.

After describing PLLs elements, behavioural models are developed, allowing the simple and

precise characterization of the PLLs elements. In this way, it is possible to simulate the behaviour of

the PLLs. The validity of the developed models is granted through Hspice simulation of the circuits.

Three examples are presented to illustrate the relationship between the results achieved by the

considered models and the ones brought about by simulation.

Page 10: Desenvolvimento de Ambiente para Caracterização Automática ... · Página 5 Agradecimentos Os meus sinceros agradecimentos à minha orientadora, Prof. Doutora Maria Helena Fino,

Página 10

Page 11: Desenvolvimento de Ambiente para Caracterização Automática ... · Página 5 Agradecimentos Os meus sinceros agradecimentos à minha orientadora, Prof. Doutora Maria Helena Fino,

Página 11

Índice

Introdução............................................................................................................................................ 17

Capítulo 1 Introdução aos PLLs................................................................................................... 19

1.1 Introdução ............................................................................................................................. 19

1.2 Detector de Fase - PD............................................................................................................ 21

1.3 Oscilador Controlado por Tensão - VCO.............................................................................. 22

1.4 Modelo do PLL ..................................................................................................................... 24

1.5 Largura de Banda .................................................................................................................. 28

1.6 Filtro...................................................................................................................................... 30

1.7 Condições para manter a Captura.......................................................................................... 32

1.8 Condições de Aquisição ........................................................................................................ 35

1.9 Conclusões ............................................................................................................................ 39

Capítulo 2 Filtros ........................................................................................................................... 41

2.1 Introdução ............................................................................................................................. 41

2.2 Filtro passivo passa-baixo ..................................................................................................... 42

2.3 Conclusões ............................................................................................................................ 45

Capítulo 3 Oscilador Controlado por Tensão - VCO ................................................................. 47

3.1 Introdução ............................................................................................................................. 47

3.2 Características das células de atraso...................................................................................... 48

3.2.1 Ganho ............................................................................................................................ 48

3.2.2 Células de atraso............................................................................................................ 50

3.3 1ª Arquitectura (Weigandt - 1998) ........................................................................................ 53

Page 12: Desenvolvimento de Ambiente para Caracterização Automática ... · Página 5 Agradecimentos Os meus sinceros agradecimentos à minha orientadora, Prof. Doutora Maria Helena Fino,

Página 12

3.4 2ª Arquitectura (J. Maneatis - 1994)...................................................................................... 57

3.4.1 Cargas Simétricas .......................................................................................................... 58

3.4.2 Frequência de oscilação................................................................................................. 59

3.4.3 Circuito de polarização.................................................................................................. 60

3.5 3ª Arquitectura (Park, Kim - 1999) ....................................................................................... 61

3.6 4ª Arquitectura (Yan, Luong - 2001)..................................................................................... 62

3.7 Conclusões............................................................................................................................. 64

Capítulo 4 Aplicação Desenvolvida............................................................................................... 65

4.1 Introdução.............................................................................................................................. 65

4.1.1 Funcionamento da aplicação ......................................................................................... 66

4.2 Filtro ...................................................................................................................................... 69

4.2.1 Integração no modelo do PLL ....................................................................................... 70

4.2.2 Filtro – Aplicação desenvolvida .................................................................................... 70

4.2.3 Exemplo – Filtro 1ª ordem............................................................................................. 73

4.2.4 Exemplo – Filtro 2ª ordem............................................................................................. 75

4.3 VCO....................................................................................................................................... 77

4.3.1 Integração no modelo do PLL ....................................................................................... 78

4.3.2 VCO – Aplicação desenvolvida .................................................................................... 78

4.3.3 1º Exemplo – 100 MHz ................................................................................................. 81

4.3.4 2º Exemplo – 150 MHz ................................................................................................. 84

4.3.5 3º Exemplo – 200 MHz ................................................................................................. 87

4.4 Conclusões............................................................................................................................. 90

Capítulo 5 Conclusões .................................................................................................................... 91

Referências ........................................................................................................................................... 93

Anexos………………………………………………………………………………………………... 95

Anexo A – Ficheiro de simulação do filtro (Hsipce) ..................................................................... 97

Anexo B – Ficheiro de simulação do VCO (Hsipce) ..... ............ ................................................ 107

Page 13: Desenvolvimento de Ambiente para Caracterização Automática ... · Página 5 Agradecimentos Os meus sinceros agradecimentos à minha orientadora, Prof. Doutora Maria Helena Fino,

Página 13

Índice de Figuras

Figura 1.1 - Diagrama de blocos de um PLL ........................................................................................ 20

Figura 1.2 – Característica do PD ......................................................................................................... 21

Figura 1.3 – Característica do PD (θd0 = 0) ........................................................................................... 21

Figura 1.4 – Modelo do PD................................................................................................................... 22

Figura 1.5 – Característica do VCO...................................................................................................... 23

Figura 1.6 – Característica do VCO...................................................................................................... 23

Figura 1.7 – Modelo do VCO................................................................................................................ 24

Figura 1.8 – Detector de Fase (multiplicador) ...................................................................................... 24

Figura 1.9 – Representação dos sinais vi(t), θe(t) e vo(t)........................................................................ 25

Figura 1.10 – Sinal de saída do PD ....................................................................................................... 25

Figura 1.11 – Característica do detector de fase ................................................................................... 26

Figura 1.12 – Modelo do PLL............................................................................................................... 27

Figura 1.13 – Modelo ac do PLL .......................................................................................................... 28

Figura 1.14 – PLL com atenuador resistivo .......................................................................................... 29

Figura 1.15 – PLL com Filtro passivo................................................................................................... 30

Figura 1.16 – Diagrama de blocos do PLL no domínio s...................................................................... 31

Figura 1.17 - PLL com Filtro activo ..................................................................................................... 31

Figura 1.18 – Característica do PD e do VCO ...................................................................................... 32

Figura 1.19 – PLL de 2ª ordem ............................................................................................................. 33

Figura 1.20 – PLL de 2ª ordem ............................................................................................................. 35

Figura 2.1 – Filtro genérico................................................................................................................... 42

Figura 2.2 – Filtros passivos passa-baixo de 1ª ordem.......................................................................... 43

Figura 2.3 – Filtro passivo de 3ª ordem ................................................................................................ 43

Figura 3.1– Estrutura de um VCO em anel ........................................................................................... 48

Page 14: Desenvolvimento de Ambiente para Caracterização Automática ... · Página 5 Agradecimentos Os meus sinceros agradecimentos à minha orientadora, Prof. Doutora Maria Helena Fino,

Página 14

Figura 3.2 – Arquitectura genérica de um VCO.................................................................................... 49

Figura 3.3 – Célula de atraso com arquitectura diferencial ................................................................... 51

Figura 3.4 – Célula de atraso com arquitectura diferencial ................................................................... 54

Figura 3.5 – Característica ID (VDS) do transístor PMOS ...................................................................... 55

Figura 3.6 – Controlo do VCO por tensão............................................................................................. 57

Figura 3.7 – Célula de atraso com cargas simétricas............................................................................. 57

Figura 3.8 – Carga simétrica ................................................................................................................. 58

Figura 3.9 – Característica IL(VL).......................................................................................................... 59

Figura 3.10 – Célula de atraso diferencial ............................................................................................. 61

Figura 3.11 – Estrutura do VCO com entrada dual ............................................................................... 62

Figura 3.12 – Célula de atraso ............................................................................................................... 63

Figura 4.1 – Interface de alto nível da aplicação ................................................................................... 66

Figura 4.2 – Característica de blocos constituintes de PLL................................................................... 67

Figura 4.3 – Modelo do PLL ................................................................................................................. 68

Figura 4.4 – Fluxograma do dimensionamento do filtro ....................................................................... 71

Figura 4.5 – Filtro passivo passa-baixo ................................................................................................. 72

Figura 4.6 – Output da aplicação (filtro de 1ª ordem) ........................................................................... 74

Figura 4.7 Output do simulador Hspice, filtro de 1ª ordem................................................................... 75

Figura 4.8 – Output da aplicação (filtro de 2ª ordem) ........................................................................... 76

Figura 4.9 – Output do simulador Hspice, filtro de 2ª ordem................................................................ 77

Figura 4.10 – Fluxograma da aplicação................................................................................................. 80

Figura 4.11 – Output da aplicação (100 MHz)...................................................................................... 82

Figura 4.12 – Output do simulador Hspice (100MHz).......................................................................... 83

Figura 4.13 – Característica do VCO (100MHz) .................................................................................. 84

Figura 4.14 – Output da aplicação (150 MHz)...................................................................................... 85

Figura 4.15 - Output do simulador Hspice (150MHz) .......................................................................... 85

Figura 4.16 – Característica do VCO (150MHz) .................................................................................. 86

Figura 4.17 – Output da aplicação (200 MHz)...................................................................................... 88

Figura 4.18 – Característica do VCO (200MHz) .................................................................................. 89

Page 15: Desenvolvimento de Ambiente para Caracterização Automática ... · Página 5 Agradecimentos Os meus sinceros agradecimentos à minha orientadora, Prof. Doutora Maria Helena Fino,

Página 15

Índice de Tabelas

Tabela 2.1 – Parâmetros da função de transferência ............................................................................. 44

Tabela 4.1 – Parâmetros da função de transferência Z(s) ..................................................................... 72

Tabela 4.2 – Valor dos componentes dos filtros ................................................................................... 73

Tabela 4.3 – Resultados para um filtro de 1ª ordem (200 Mrad/s)........................................................ 73

Tabela 4.4 – Resultados para um filtro de 2ª ordem (200 Mrad/s)........................................................ 75

Tabela 4.5– Dimensões dos transístores pelo Matlab, para 100MHz ................................................... 82

Tabela 4.6 – Dimensões dos transístores pelo Hspice, para 100MHz................................................... 82

Tabela 4.7 – Tabela comparativa de resultados do Hspice com a equação linear determinada ............ 83

Tabela 4.8 – Dimensões dos transístores pelo Matlab, para 150MHz .................................................. 84

Tabela 4.9 – Dimensões dos transístores pelo Hspice, para 150MHz................................................... 84

Tabela 4.10 – Tabela comparativa de resultados do Hspice com a equação linear determinada .......... 86

Tabela 4.11 – Dimensões dos transístores pelo Matlab, para 200MHz ................................................ 87

Tabela 4.12 – Dimensões dos transístores pelo Hspice, para 200MHz................................................. 87

Tabela 4.13 – Tabela comparativa de resultados do Hspice com a equação linear determinada .......... 88

Tabela 4.14 – Tempo gasto na simulação Matlab vs Hspice................................................................. 89

Page 16: Desenvolvimento de Ambiente para Caracterização Automática ... · Página 5 Agradecimentos Os meus sinceros agradecimentos à minha orientadora, Prof. Doutora Maria Helena Fino,

Página 16

Page 17: Desenvolvimento de Ambiente para Caracterização Automática ... · Página 5 Agradecimentos Os meus sinceros agradecimentos à minha orientadora, Prof. Doutora Maria Helena Fino,

Página 17

Introdução

As malhas de captura de fase (em inglês Phase Locked Loop - PLL) constituem um sistema

electrónico de grande utilidade para aplicações em sintetizadores de frequência em sistemas de

comunicação, desmodulação de sinal em FM e AM, sincronização de relógio e regeneração de sinal,

entre outras.

De entre os elementos que constituem o PLL, o oscilador controlado por tensão (em inglês

Voltage Controlled Oscillator - VCO) é aquele cuja implementação é mais crítica, pois o VCO é o

responsável pela geração do sinal de saída.

Neste trabalho pretende-se desenvolver uma aplicação para caracterização automática dos

elementos que constituem os PLLs. A necessidade desta aplicação deve-se ao facto de o projecto de

PLLs ser complexo, sobretudo devido aos VCOs actualmente realizados em tecnologia CMOS. Os

osciladores como bloco principal dos PLLs estão em destaque neste trabalho. O projecto de VCOs

recorrendo a repetidas simulações torna-se demasiado moroso pelo que se torna necessário o

desenvolvimento de modelos simples e precisos para caracterizar estes elementos. A necessidade de

modelos de elevada precisão torna imperioso o desenvolvimento de modelos baseados em parâmetros

tecnológicos.

Os PLLs são apresentados no primeiro capítulo deste documento. São expostos os blocos

constituintes de PLLs, o detector de fase, o filtro e o VCO. É feita uma breve descrição do

Page 18: Desenvolvimento de Ambiente para Caracterização Automática ... · Página 5 Agradecimentos Os meus sinceros agradecimentos à minha orientadora, Prof. Doutora Maria Helena Fino,

Página 18

funcionamento de cada bloco. As condições para aquisição e manter a captura de sinal são também

referidas.

O segundo capítulo é dedicado ao filtro. O bloco de filtragem é um elemento fundamental em

um PLL, pois permite rejeitar o ruído do sinal que deve chegar ao VCO no caso dos circuitos de

transmissão, ou na implementação de moduladores. É apresentada uma topologia para a

implementação de filtros. São apresentados os fundamentos teóricos para a caracterização do filtro na

aplicação desenvolvida.

O VCO é descrito no terceiro capítulo. Como principal bloco de PLLs, são apresentadas

quatro arquitecturas de VCOs. É realizada uma descrição do funcionamento da célula de atraso de

cada arquitectura. É adoptada uma das arquitecturas descritas para ser usada na aplicação

desenvolvida.

O capítulo quatro é destinado à aplicação desenvolvida. São apresentadas as interfaces da

aplicação desenvolvidas em Matlab. O processo de dimensionamento do filtro e do VCO é aqui

explicado. São apresentados os resultados teóricos e os obtidos recorrendo ao simulador de circuitos

Hspice, sendo feita uma análise da concordância entre os resultados obtidos.

As conclusões ao trabalho desenvolvido são apresentadas no quinto capítulo.

Page 19: Desenvolvimento de Ambiente para Caracterização Automática ... · Página 5 Agradecimentos Os meus sinceros agradecimentos à minha orientadora, Prof. Doutora Maria Helena Fino,

Capítulo 1

Introdução aos PLLs

1.1 Introdução

Neste capítulo é apresentado o PLL. Inicialmente considera-se o PLL de 1ª ordem e são

apresentados os respectivos blocos constituintes. É ainda feita uma breve enumeração das

características dos PLLs como sejam: a largura de banda, erro de fase, tempo de aquisição, margem de

captura e ruído. Seguidamente introduz-se o PLL de 2ª ordem descrevendo diversos tipos de filtro.

Uma vez apresentados os PLLs são abordadas as principais características destes elementos,

nomeadamente a Largura de Banda, as condições necessárias para que o PLL possa realizar a

aquisição de sinal assim como manter a sua captura.

Um PLL é na sua essência um oscilador em que a fase do sinal de saída é sincronizada com a

fase de um sinal (externo) de entrada. As aplicações dos PLLs são diversas, tais como modulação e

desmodulação de sinais, sincronização de frequências em sistemas de telecomunicações e como

gerador de relógios em sistemas digitais, sendo esta última, uma das mais largamente utilizadas.

Page 20: Desenvolvimento de Ambiente para Caracterização Automática ... · Página 5 Agradecimentos Os meus sinceros agradecimentos à minha orientadora, Prof. Doutora Maria Helena Fino,

Capítulo 1– Introdução aos PLLs

Página 20

O PLL no seu modelo mais simples é constituído por um Detector de Fase (PD) e um

Oscilador Controlado por Tensão (VCO) como se ilustra na figura 1.1 [1]. A sua função é sincronizar

a fase do sinal produzido pelo VCO com a fase do sinal aplicado.

Figura 1.1 - Diagrama de blocos de um PLL

O detector de fase é o responsável por determinar a diferença de fase (θi) do sinal de entrada

com a fase (θo) do sinal de saída do oscilador e produzir uma tensão de saída proporcional a essa

diferença, Vd. Essa tensão é aplicada ao VCO, designada por tensão de controlo Vc, de forma a

produzir um sinal vo, cuja frequência é proporcional à sua tensão de entrada. Através da realimentação

negativa o PLL tenta sincronizar a fase dos dois sinais. Quando tal acontece diz-se que existe captura

do sinal [2].

A informação dos sinais do PLL é caracterizada, não pela característica tensão vs tempo, mas

pela frequência/fase desses mesmos sinais. O erro de fase é assim uma das características a ter em

conta nos PLLs. A largura de banda, o tempo de captura, condições de manter a captura assim como o

ruído são também características de um PLL a ter em consideração no respectivo projecto.

O PLL é um sistema que apresenta um comportamento altamente não linear, especialmente

quando a diferença de fase dos dois sinais é elevada e o sistema tenta anulá-la. A análise ao

comportamento não linear do PLL é deveras complexa, no entanto, mesmo um modelo linear do seu

comportamento, para pequenas diferenças de fases, pode fornecer muita informação acerca do seu

comportamento [3].

Será então apresentado um modelo linear de cada bloco constituinte de um PLL, de forma a

fornecer os fundamentos teóricos para uma abordagem mais complexa.

Detector de

Fase (PD)

vi (ωi, θi) vo (ωo, θo) Oscilador

(VCO)

Vd Vc

Page 21: Desenvolvimento de Ambiente para Caracterização Automática ... · Página 5 Agradecimentos Os meus sinceros agradecimentos à minha orientadora, Prof. Doutora Maria Helena Fino,

Capítulo 1 – Introdução aos PLLs

Página 21

1.2 Detector de Fase - PD

O detector de fase, como referido, tem por objectivo determinar a diferença de fase (θd) entre

os dois sinais. O PD produz uma tensão Vd em resposta a essa diferença. A característica do PD na sua

forma mais simples, ou seja, considerando o PD com característica linear, está representada na figura

1.2.

Quando o sinal externo vi é nulo, o PD produz uma tensão Vdo à qual corresponde uma fase

θdo, como mostra a figura 1.2. É usual fazer a deslocação da característica de forma a que θdo seja zero,

isto é Vd = Vdo, esta característica esta representada na figura 1.3.

Figura 1.2 – Característica do PD

Figura 1.3 – Característica do PD (θd0 = 0)

πθπ ≤≤− d

( )voltsdV

doV

doθ

2π−

( )voltsdV

doV

πθπ ≤≤− e

Page 22: Desenvolvimento de Ambiente para Caracterização Automática ... · Página 5 Agradecimentos Os meus sinceros agradecimentos à minha orientadora, Prof. Doutora Maria Helena Fino,

Capítulo 1– Introdução aos PLLs

Página 22

Considere-se agora o erro de fase como a diferença entre θd e θdo.

dode θθθ −= (1.1)

É de salientar que nestas condições, quando θe = 0, não implica que os dois sinais estejam em fase.

O declive da característica do PD é designado por ganho do PD, Kd. Este pode ser

determinado pela relação

e

dd d

dvK

θ= (1.2)

Para simplificação de análise, considere-se a característica do PD como sendo linear. Assim

pode-se modelar matematicamente a sua característica pela equação

doedd VKV += θ (1.3)

a que corresponde o modelo do PD representado na figura 1.4.

Figura 1.4 – Modelo do PD

1.3 Oscilador Controlado por Tensão - VCO

Numa primeira aproximação considera-se o VCO com a característica representada na figura

1.5, onde a frequência do sinal de saída é função da tensão de controlo Vc.

Quando o sinal de controlo é nulo, o VCO gera um sinal com uma frequência vulgarmente

denominada por ωfree . Associado a está característica esta o ganho do VCO, Ko. Este ganho é função

do declive da característica, assim

c

oo dV

dK

ω= (1.4)

Kd

Vdo

θi

-θo

θe Vd

Page 23: Desenvolvimento de Ambiente para Caracterização Automática ... · Página 5 Agradecimentos Os meus sinceros agradecimentos à minha orientadora, Prof. Doutora Maria Helena Fino,

Capítulo 1 – Introdução aos PLLs

Página 23

Figura 1.5 – Característica do VCO

Quando é aplicado um sinal de controlo Vc, o VCO produz uma tensão de amplitude fixa com

uma frequência

cofreeo VK+= ωω (1.5)

Designa-se por desvio da frequência de saída, oω∆ , à diferença de frequência entre os dois

sinais.

ioo ωωω −=∆ (1.6)

Figura 1.6 – Característica do VCO

Quando existe captura, io ωω = e ao valor de Vc da característica chama-se tensão de controlo

“estática” Vco. Esta tensão depende do valor da frequência do sinal de entrada. A representação oω∆

ωo (

Mra

d/s)

Vc (volts)

ωfree

ωo = ωi

Vco

Vc (volts)

∆ωo (

Mra

d/s)

Page 24: Desenvolvimento de Ambiente para Caracterização Automática ... · Página 5 Agradecimentos Os meus sinceros agradecimentos à minha orientadora, Prof. Doutora Maria Helena Fino,

Capítulo 1– Introdução aos PLLs

Página 24

vs Vc também é a característica do VCO para uma frequência de sinal a ser gerado, e encontra-se

representada na figura 1.6.

Da característica anterior, também se pode definir o ganho Ko como

c

oo dv

dK

ω∆= (1.7)

logo

( )cocoo VvK −=∆ω (1.8)

Um modelo gráfico para o VCO esta representado na figura 1.7. De salientar que o modelo do

VCO depende da frequência do sinal a ser gerado.

Figura 1.7 – Modelo do VCO

1.4 Modelo do PLL

Como referido, um PLL de 1ª ordem é composto pelo detector de fase e pelo oscilador

controlado por tensão. Existe uma diversidade enorme de modelos de PD, no entanto de grosso modo

todos (os analógicos) se podem resumir ao funcionamento de um multiplicador, como mostra a figura

1.8.

Figura 1.8 – Detector de Fase (multiplicador)

Considere-se dois sinais com a mesma frequência, mas com fases diferentes tal que

[ ][ ])(cos)(

)(sin)(

000 ttVtvttVtv iii

θωθω

+=+=

vd(t)

vi(t)

vo(t)

Vc

-Vco

Ko ∆ωo

Page 25: Desenvolvimento de Ambiente para Caracterização Automática ... · Página 5 Agradecimentos Os meus sinceros agradecimentos à minha orientadora, Prof. Doutora Maria Helena Fino,

Capítulo 1 – Introdução aos PLLs

Página 25

na saída do multiplicador, obtém-se

[ ] [ ])t()t(tsinVV.)t()t(sinVV.)t(v oioioioid θθωθθ +++−= 25050 (1.9)

Considere-se que o erro de fase entre os dois sinais eθ varia linearmente e que o sinal de entrada

possui fase inicial nula. Pode-se reescrever a equação da saída do PLL em função do erro de fase eθ

[ ])(cos)( 00 ttVtv eθω −=

Na figura 1.9 encontram-se representados o andamento de ( )tvi , ( )teθ e ( )tvo .

Figura 1.9 – Representação dos sinais vi(t), θe(t) e vo(t)

O sinal de saída do PD, obedece à equação 1.9 e está representado na figura 1.10.

Figura 1.10 – Sinal de saída do PD

Analisando a equação (1.9), verifica-se que a tensão à saída do multiplicador tem dois termos.

O primeiro termo é apenas função da diferença de fase dos dois sinais, representado a vermelho na

figura 1.10, e o segundo termo tem frequência dupla e é função da soma das fases dos sinais.

vi(t)

θe(t)

vo(t)

t

t

π/2 π

3π/2

t

t

vd vd(t)

Page 26: Desenvolvimento de Ambiente para Caracterização Automática ... · Página 5 Agradecimentos Os meus sinceros agradecimentos à minha orientadora, Prof. Doutora Maria Helena Fino,

Capítulo 1– Introdução aos PLLs

Página 26

Pode-se utilizar o sinal de saída do multiplicador para sincronizar os dois sinais, pois este é

função da diferença de fase dos sinais. O termo de frequência dupla pode ser filtrado, pois não fornece

qualquer informação útil, para tal torna-se necessário introduzir um filtro passa-baixo no PLL. A sua

largura de banda não deve ser muito elevado para evitar ruído, deve sobretudo eliminar o termo de

frequência dupla.

Considerando que se elimina totalmente o termo de frequência dupla, o sinal à saída do filtro

será

[ ])t()t(sinVV.)t(v oioid θθ −= 50

[ ])(sin5.0)( tVVtv eoid θ= (1.10)

onde

oid VV.K 50=

Após a filtragem o sinal vd(t) fornece a indicação do erro existente entre a fase dos dois sinais.

A relação entre estes dois sinais é a característica do PD, representada na figura 1.11. Para valores de

erro de fase pequenos, têm-se ( ) ee θθ ≈sin , a equação (1.10) reduz-se à equação (1.11). Se se

aproximar a característica do PD a uma onda triangular, obtêm-se a característica apresentada na

figura 1.3.

edd Kv θ⋅≈ (1.11)

Figura 1.11 – Característica do detector de fase

Pretende-se que o erro de fase seja nulo de modo a atingir a captura. Esse objectivo só é

conseguido se existir uma variação de fase do sinal proveniente do VCO. O VCO permite variar a

frequência do seu sinal por meio da aplicação do sinal de controlo externo, Vc.

vd

θe 0 2π

Page 27: Desenvolvimento de Ambiente para Caracterização Automática ... · Página 5 Agradecimentos Os meus sinceros agradecimentos à minha orientadora, Prof. Doutora Maria Helena Fino,

Capítulo 1 – Introdução aos PLLs

Página 27

Como se mostrou, o ganho do VCO, Ko, representa a variação instantânea da frequência do

VCO em função do erro de sinal, tal que

co dv

dK ω= (1.12)

Recordando, se o sinal de saída do VCO for

[ ])t(tcosV)t(v ooo θω += (1.13)

aplicando uma tensão na sua entrada, ele deverá variar a sua frequência de trabalho segundo a relação

)t(vKoout += ωω (1.14)

É sabido que para qualquer sinal periódico existe uma relação entre a frequência e a fase do sinal

segundo a expressão

∫=t

dttft0

)(2)( πθ (1.15)

Desde o início que se refere que o PLL compara a fase de dois sinais, logo interessa exprimir a fase

em função da diferença de frequência oω∆ , vem

∫ ∆=t

dt)t()t(0

0ωθ (1.16)

esta relação indica que uma variação da frequência origina uma variação da fase do sinal.

À medida que o erro vai diminuindo a fase do sinal do VCO vai aumentando até que o erro

seja nulo. O modelo do PLL com a introdução do filtro é apresentado na figura 1.12.

Figura 1.12 – Modelo do PLL

Do modelo apresentado pode-se determinar a expressão do erro de fase estático, pois permite

analisar a influência do tipo de filtro utilizado sobre eoθ , têm-se que

Kd

Vdo

θi

-θo

θe vd F(s) K0 ∫ dt

vc -Vco

∆ωo θo

VCO Filtro PD

Page 28: Desenvolvimento de Ambiente para Caracterização Automática ... · Página 5 Agradecimentos Os meus sinceros agradecimentos à minha orientadora, Prof. Doutora Maria Helena Fino,

Capítulo 1– Introdução aos PLLs

Página 28

( ) ( ) ocoodoodeo KVKsFVKsFK ⋅−⋅⋅+⋅⋅⋅=∆ θω (1.17)

O erro de fase estático eoθ é definido como sendo eθ quando existe captura de sinal, ou seja

quando 0=∆ oω , obtêm-se

( )0FKV

KV

d

co

d

doeo ⋅

+=θ (1.18)

1.5 Largura de Banda

A largura de banda do PLL é a gama de frequência de trabalho que possibilita a existência da

captura do sinal de entrada vi, sem perda excessiva de ganho. A largura de banda depende apenas da

variação AC dos sinais do PLL. Pode-se reescrever o modelo do PLL apenas com as componentes AC,

em que o integral do modelo do VCO é substituído pela sua transformada de Laplace. Para

simplificação de análise considere-se a não existência do filtro. Obtêm-se o modelo representado na

figura 1.13 [1].

Figura 1.13 – Modelo ac do PLL

O ganho do PLL em malha aberta é

sKK)s(G od= (1.19)

A largura de banda do PLL, ω3dB, é dada pela equação (1.20)

1=)j(G ω (1.20)

logo

oddB KK=3ω

do modelo apresentado também se pode determinar a função de transferência do modelo, dada por

Kd θi

-θo

θe vd Ko

vc ∆ωo θo 1/s

Page 29: Desenvolvimento de Ambiente para Caracterização Automática ... · Página 5 Agradecimentos Os meus sinceros agradecimentos à minha orientadora, Prof. Doutora Maria Helena Fino,

Capítulo 1 – Introdução aos PLLs

Página 29

( ))(1

)()()(

sGsG

ss

sHi

o

+==

θθ

(1.21)

caso se pretenda uma redução da largura de banda (p.e. para filtrar a componente de dupla frequência

do modelo anterior), pode-se colocar um atenuador entre o PD e o VCO. Este atenuador é vulgarmente

implementado com um divisor resistivo de ganho Kh, como mostra a figura 1.14.

Figura 1.14 – PLL com atenuador resistivo

Sendo o ganho do atenuador é dado por

( )212 RRRKh += (1.22)

O novo valor da largura de banda do PLL é dado por

03 KKK hddB =ω

Fazendo

0KKKK hd=

pode-se reescrever a função de transferência do sistema na forma

( )Ks

Kss

sHi

o

+==

)()(

θθ

(1.23)

Um PLL com um atenuador deste tipo é designado por PLL de 1ª ordem. A ordem do PLL é

determinada pela ordem do grau do denominador da função de transferência.

Este atenuador satisfaz a largura de banda desejada, no entanto afecta o comportamento

estático (DC) do PLL, pois agora vc ≠ vd, e pela equação (1.23) aumenta o erro estático eoθ . O ganho

DC do atenuador é no máximo igual à unidade, logo vai reduzir o valor da tensão de controlo do VCO,

consequentemente diminui o intervalo de frequência de trabalho do VCO.

PD vi(θi)

-θo

vd vc vo(θo) VCO

R1

R2

Page 30: Desenvolvimento de Ambiente para Caracterização Automática ... · Página 5 Agradecimentos Os meus sinceros agradecimentos à minha orientadora, Prof. Doutora Maria Helena Fino,

Capítulo 1– Introdução aos PLLs

Página 30

1.6 Filtro

Pode-se obviar o problema do aumento do erro estático introduzido pela malha atenuadora

colocando um condensador entre a resistência R2 e a massa. Este conjunto filtra a componente AC do

sinal vd, mas não atenua a componente DC, figura 1.15.

Figura 1.15 – PLL com Filtro passivo

Sendo a função de transferência do filtro dada pela equação (1.24)

p

zh s

sK)s(F

ω+ω+

⋅= (1.24)

em que

21

2RR

RKh +

=

( ) CRRp ⋅+=ω

21

1

CRz ⋅

=ω2

1

Consegue-se para baixas frequências F(0) = 1 e para altas frequências, maiores que ωZ,

( ) hKjF =ω como pretendido. A função de transferência do PLL é agora

( ) ( ) zp

z

i

o

KKsKKs

ss

sHωω

ωθθ

++++

== 2)()(

(1.25)

este é então um PLL de 2ª ordem.

PD vi(θi)

-θo

vd vc vo(θo) VCO

R1

R2

C

Page 31: Desenvolvimento de Ambiente para Caracterização Automática ... · Página 5 Agradecimentos Os meus sinceros agradecimentos à minha orientadora, Prof. Doutora Maria Helena Fino,

Capítulo 1 – Introdução aos PLLs

Página 31

Da função de transferência do PLL, pode-se concluir sobre a estabilidade do sistema

analisando a margem de fase. Grandes margens de fase, podem fazer decrescer a resposta do sistema e

degradar o tempo de aquisição [4]. O diagrama de blocos do PLL no domínio s está representado na

figura 1.16.

Figura 1.16 – Diagrama de blocos do PLL no domínio s

É usual descrever o sistema no domínio da frequência s de forma a analisar o seu

comportamento. Uma vantagem de representar o PLL em blocos, é ter acesso a todos os sinais em

qualquer ponto do PLL (PD, filtro, VCO). Deste modo, pode-se determinar a influência de

perturbações ao longo do sistema sobre a saída do PLL. A resposta do PLL a essas perturbações

dependerá essencialmente da largura de banda do PLL [4].

Em diversas aplicações pretende-se que o PLL tenha uma largura de banda elevada. A largura

de banda depende do ganho de cada um dos blocos constituintes do PLL. A variação do ganho do PD

ou do VCO é normalmente pouco flexível, assim fica apenas sobre o filtro, o engenho de conseguir

ganhos elevados.

Como se mostrou, um filtro passivo tem ganho máximo igual à unidade. Quando se pretendem

ganhos elevados a utilização de um filtro activo é indispensável, figura 1.17. Na implementação do

filtro, existem três parâmetros a caracterizar, o ganho a altas frequências Kh, o posicionamento do zero

que leva F(0) a infinito e o posicionamento do pólo.

Figura 1.17 - PLL com Filtro activo

Kd

θi(s) θo(s) F(s)

Vd(s) Vc(s)Ko / s

R2

PD vi(θi)

-θo

vd vc vo(θo) VCO R1

C

-

+

Page 32: Desenvolvimento de Ambiente para Caracterização Automática ... · Página 5 Agradecimentos Os meus sinceros agradecimentos à minha orientadora, Prof. Doutora Maria Helena Fino,

Capítulo 1– Introdução aos PLLs

Página 32

1.7 Condições para manter a Captura

Considere-se que existe captura do sinal (ωo = ωi), nesta situação pode dizer-se que o PLL se

encontra num estado estático. Quando existe uma variação de fase (ou frequência) do sinal de entrada,

o PLL deverá reagir de forma a capturar de novo o sinal de entrada.

Quando acontece uma variação lenta de frequência do sinal de entrada ωi, o VCO vai tentar

acompanhar essa mesma variação desde que essa frequência esteja dentro do seu intervalo de trabalho

e dependendo também do seu sinal de controlo vc.

Considere-se um PD e um VCO, cujas características estão representadas na figura 1.18.

Existe duas restrições, que impedem o PLL de conseguir capturar novamente o sinal de entrada. A

primeira e mais visível, prende-se com o facto de o novo valor de ωi, não poder exceder os limites da

característica do VCO, ou seja, ultrapassar o intervalo de frequências de trabalho VCO. A segunda

restrição reflecte-se no valor da tensão de controlo vc que depende do tipo de filtro utilizado no PLL.

Recorde-se que

( ) máxdmáxc VFv ⋅= 0 (1.26)

Figura 1.18 – Característica do PD e do VCO

No caso de ser utilizado um atenuador, figura 1.14, é diminuído o intervalo de frequência de

qual é possível seguir o sinal de entrada, pois ( ) 10 <F . Se for utilizado um filtro passivo, figura 1.15,

consegue-se que vc = Vd, pois ( ) 10 =F . No entanto pode-se estar a não aproveitar toda a característica

( )voltsdV

πθπ ≤≤− e

( )voltscV

ωo (

Mra

d/s)

máxeθ

Page 33: Desenvolvimento de Ambiente para Caracterização Automática ... · Página 5 Agradecimentos Os meus sinceros agradecimentos à minha orientadora, Prof. Doutora Maria Helena Fino,

Capítulo 1 – Introdução aos PLLs

Página 33

do VCO, pois o VCO produz frequências superiores para vc > Vd. Com a utilização de um filtro activo,

figura 1.19, consegue-se um aproveitamento pleno do VCO, pois ( ) ∞=0F .

Figura 1.19 – PLL de 2ª ordem

Considere-se o PLL composto por um filtro activo, figura 1.19. Quando existe uma variação

rápida de frequência do sinal de entrada, o PLL pode perder a captura mesmo que os limites do VCO

não sejam ultrapassados. Isto deve-se ao facto de que para uma variação rápida de ωi ( ) ( )0FjF <ω , o

que juntamente com a equação (1.26), representa uma restrição ao valor de vc. Este facto explica-se

devido ao condensador não conseguir carregar durante o tempo em que houve variação de ωi.

A frequência do sinal de entrada é agora ii ωω ∆+ , onde iω∆ representa a variação de

frequência. Pela equação (1.16) pode-se representar a variação de frequência iω∆ em função da fase

do sinal

td

d ii

θω =∆ (1.27)

É de interesse conhecer qual o valor de iω∆ que leva o PLL a perder a captura e não

conseguir recuperá-la.

Recorde-se novamente o PLL de 2ª ordem com filtro activo. O PLL perde a captura quando o

erro de fase eθ excede o valor de máxeθ que leva o PD a produzir máxdV , pedindo assim uma tensão

máxdd VV > , figura 1.18.

Recorrendo às equações (1.6) e (1.8) pode-se escrever a frequência do VCO, oω , segundo a

equação (1.28)

( ) icocoo VvK ωω +−= (1.28)

R2 PD

vi vd vc vo VCO R1

-

+

- v2 + - v3 +

Page 34: Desenvolvimento de Ambiente para Caracterização Automática ... · Página 5 Agradecimentos Os meus sinceros agradecimentos à minha orientadora, Prof. Doutora Maria Helena Fino,

Capítulo 1– Introdução aos PLLs

Página 34

pela figura 1.16, verifica-se que a tensão de controlo vc obedece à equação (1.29)

32 vvvc += (1.29)

Quando existe captura ( )io ωω = , pela equação (1.28) coc Vv = . Este valor de tensão é

disponibilizado pelo condensador que armazenou energia eléctrica durante a aquisição até coVv =3 .

Da equação (1.29) tem-se assim que v2 deve ser zero, mas

dhd VKVRR

v ⋅=⋅=1

22 (1.30)

assim 0=dV , e da característica do PD 0=eθ (assumindo 0=doV ). Quando acontece iω∆ , para

manter a captura, o VCO deve sofrer uma variação oω∆ resultado de uma variação do valor da tensão

de controlo cv∆ , pela equação (1.7)

o

oc K

vω∆

=∆ (1.31)

Considere-se que o tempo de carga do condensador é muito maior do que o tempo da variação

iω∆ . Segundo a equação (1.29), terá de ser o termo 2v o responsável por variar cv em cv∆ , assim

o

c Kvv ω∆

=∆=2 (1.32)

por outro lado, pela equação (1.30)

ohh

d KKKv

V⋅

∆==

ω2 (1.33)

se a variação de frequência ω∆ for pequena, dV será pequena e não ultrapassará máxdV , e o PLL

conseguirá de novo a captura.

A máxima variação ω∆ que poderá ocorrer, para que o PLL consiga voltar a capturar o sinal

é designada por “lock frequency” Lω , têm-se assim

⇔⋅

=oh

Ld KK

ohdL KKV ⋅⋅=ω (1.34)

de igual modo para um PD linear, figura 1.18, obtêm-se

KKKK ohd

eωωθ ∆

=⋅⋅

∆= (1.35)

Page 35: Desenvolvimento de Ambiente para Caracterização Automática ... · Página 5 Agradecimentos Os meus sinceros agradecimentos à minha orientadora, Prof. Doutora Maria Helena Fino,

Capítulo 1 – Introdução aos PLLs

Página 35

máxedmáxd KV θ⋅= (1.36)

vem

máxeL K θω ⋅= (1.37)

onde K é a largura de banda do PLL. O PLL deverá manter a captura para Lωω <∆ .

1.8 Condições de Aquisição

Até ao momento em todas as situações consideradas, foi assumido que existia captura do sinal,

isto é io ωω = . Contudo, quando o sinal de entrada vi é aplicado ao PLL as duas frequências são

normalmente distintas. O processo de levar ωo até ωi designa-se por aquisição do sinal.

Figura 1.20 – PLL de 2ª ordem

Para simplificação de análise do processo de aquisição assuma-se que o sinal de entrada é

caracterizado por frequência constante e fase nula (θi = 0). Para uma frequência ωi constante, à

diferença entre ωo e ωi designa-se por erro de frequência ωe,

ioe ωωω −= (1.38)

num dos pontos anterior esta diferença era designada por desvio de frequência oω∆ .

É importante conhecer as limitações que o PLL impõe às suas condições inicias, de forma a

conseguir realizar a aquisição do sinal. Designa-se por pull-in range ωp ao máximo erro de frequência

R2 PD

vi vd vc vo VCO R1

-

+

- v2 + - v3 +

i

Page 36: Desenvolvimento de Ambiente para Caracterização Automática ... · Página 5 Agradecimentos Os meus sinceros agradecimentos à minha orientadora, Prof. Doutora Maria Helena Fino,

Capítulo 1– Introdução aos PLLs

Página 36

ωe para o qual o PLL consegue a aquisição do sinal [1]. Uma característica também importante, é

conhecer o tempo de aquisição do sinal, designado por pull-in range Tp.

Considere-se o PLL de 2ª ordem apresentado na figura 1.20. Neste tipo de PLL o componente

com maior influência pela aquisição do sinal é o condensador. O detector de fase é o responsável pela

carga ou descarga do condensador. Deve haver uma componente DC no sinal de saída do PD, por mais

pequena que seja, e a sua polaridade deve ser tal que pela carga ou descarga do condensador leve o

VCO a produzir uma frequência ωo que se aproxime de ωi. À componente DC, ou valor médio de vd,

durante a aquisição é designada por pull-in range vp.

Considere-se o PD com uma característica triangular, representada na figura 1.18. Assumindo

sem perda de generalidade que o sinal de entrada tem fase nula, o erro de fase é dado pela equação

(1.39)

ooie θθθθ −=−= (1.39)

Quando não existe captura io ωω ≠ e o erro de frequência é diferente de zero, têm-se

dt

ddt

d eoe

θθω −== (1.40)

O erro de fase vai crescer ou decrescer tendendo para zero, dependendo se a diferença de fase é

negativa ou positiva. Para a característica triangular apresentada, têm-se

edd Kv θ⋅= (1.41)

a tensão aos terminais de R2 é

edhdh KKvKv θ⋅⋅=⋅=2 (1.42)

e a tensão de controlo vc

332 vKKvvv edhc +⋅⋅=+= θ (1.43)

Se existir um valor de eθ para o qual vc permita 0=eω o PLL consegue a captura da fase do

sinal. Sem perda de generalidade assuma-se que 0=cv implica um erro de frequência 0=eω . Têm-

se assim um VCO com uma característica que obedece à equação (1.44)

coe vK ⋅=ω (1.44)

substituindo a equação (1.43) na equação (1.44), obtêm-se

3vKKKK oedhoe ⋅+⋅⋅⋅= θω (1.45)

Page 37: Desenvolvimento de Ambiente para Caracterização Automática ... · Página 5 Agradecimentos Os meus sinceros agradecimentos à minha orientadora, Prof. Doutora Maria Helena Fino,

Capítulo 1 – Introdução aos PLLs

Página 37

cee K ωθω +⋅= (1.46)

onde cω é o erro de frequência devido à tensão aos terminais do condensador 3v .

Para o caso em que 0=iθ

cee K

dtd

ωθθ

+⋅=− (1.47)

Da equação anterior consegue-se uma interpretação da variação do erro de fase eθ em função

de eθ . Quando 2πθ ≈e , eω é elevado, o que significa uma rápida variação de eθ . Quando

2πθ −≈e , eω é pequeno, havendo uma variação lenta de eθ . Para ocorrer a aquisição 3v deve

diminuir, diminuindo cω , até a característica atingir 0=eω .

Segundo Wolaver [1], têm-se

c

dp

KKv

ω⋅⋅

−=2,1

(1.48)

Para um elevado erro de frequência cω a assimetria de dv é reduzida, logo a tensão pv é

pequena. Consequentemente o condensador descarrega lentamente e cω decresce lentamente. A

aquisição é conseguida quando 0=eω par um determinado valor de eθ , de acordo com as equações

(1.45) e (1.46)

eohdc KKK θω ⋅⋅⋅=

maxdohc VKK ⋅⋅=ω (1.49)

A esta frequência designa-se por lock-in range Lω

maxdohL VKK ⋅⋅=ω (1.50)

é o erro máximo de frequência para o qual o PLL consegue fazer a aquisição do sinal. No ponto

anterior, esta frequência era a variação máxima de frequência para a qual o PLL conseguia manter a

captura.

O erro cω varia de acordo com a capacidade de o condensador carregar através da corrente i.

Assim

3VKoc ⋅=ω

Page 38: Desenvolvimento de Ambiente para Caracterização Automática ... · Página 5 Agradecimentos Os meus sinceros agradecimentos à minha orientadora, Prof. Doutora Maria Helena Fino,

Capítulo 1– Introdução aos PLLs

Página 38

zhpod

oooc KvK

CRvK

CiK

dtV

Kdt

ω⋅⋅⋅=

⋅⋅=⋅=⋅=

1

3 (1.51)

em que

1

2RR

Kh =

CRz ⋅

=2

substituindo a equação (1.48) em (1.51), vem

c

zzh

c

do

c KKKK

Kdt

ωω

ωω

⋅⋅−=⋅⋅

⋅⋅

⋅−=2,12,1

2 (1.52)

dtKd zcc ⋅⋅−=⋅⋅ ωωω 22,1

integrando ambos os membros, obtêm-se a equação (1.53)

2222,1 eozc tK ωωω +⋅⋅−=⋅ (1.53)

onde 2eoω é a constante de integração tal que eoc ωω = para t = 0.

Chama-se pull-in time Tp ao tempo necessário para que cω chegue ao valor de Lω .

Resolvendo a equação (1.43) para ( )2πωω ⋅== KLc , vem [1]

( )

z

eop

KT

ωω

⋅−

=6,1

5,22 (1.54)

Ao maior erro cω para o qual o PLL consegue a aquisição do sinal, chama-se pull-in range

pω . Supondo que o PD na ausência de sinal aplicado apresenta uma tensão na saída doV . Durante o

processo de aquisição o valor médio de dv é

c

ddopdod

KKVvVv

ω⋅⋅

−=+=2,1

(1.55)

Se cω for pequeno, dv é negativo e o PLL faz a aquisição do sinal. Por outro lado, se cω for

elevado, dv é positivo e o erro de frequência cω aumenta. Assim pω é o valor de frequência para o

qual 0=dv , da equação (1.55) obtêm-se

do

dp V

KK⋅

⋅=

2,1ω (1.56)

Page 39: Desenvolvimento de Ambiente para Caracterização Automática ... · Página 5 Agradecimentos Os meus sinceros agradecimentos à minha orientadora, Prof. Doutora Maria Helena Fino,

Capítulo 1 – Introdução aos PLLs

Página 39

1.9 Conclusões

O PLL é um sistema electrónico amplamente utilizado, como tal é fundamental o estudo no

que diz respeito ao seu comportamento. É composto por três blocos; o detector de fase, o filtro e o

oscilador controlado por tensão, os quais foram apresentados individualmente. Os detectores de fase

analógicos têm um funcionamento típico de um multiplicador. Os detectores de fase digitais têm

comportamento sobejamente conhecido, tornando o estudo destes circuitos dispensável neste trabalho.

Por sua vez, o filtro e o oscilador controlado por tensão (VCO) são tipicamente circuitos

analógicos, tornando-se necessário conhecer um modelo do seu comportamento de forma a possibilitar

a simulação a nível comportamental.

Dos dois tipos de filtros apresentados, passivo e activo, os passivos são os preferidos para

aplicação em PLLs. Com efeito, os filtros do tipo passivo são menos sujeitos ao aparecimento de ruído

e menos onerosos em comparação com os do tipo activo. O tipo de filtro adoptado num PLL, poderá

influenciar a largura de banda deste último. Tipicamente os filtros utilizados são de primeira ou

segunda ordem, pois a função de transferência para filtros de ordem superior torna a análise

comportamental do sistema mais complexa.

O oscilador controlado por tensão é aqui caracterizado por possuir um comportamento linear.

Esta aproximação permite simplificar o estudo do desempenho do VCO, pois neste caso, o VCO é

caracterizado por possuir um ganho DC constante.

As condições para manter captura e aquisição do sinal são importantes limitações ao

funcionamento do PLL. No entanto, não são condições essenciais ao estudo comportamental do PLL,

objectivo deste trabalho.

Page 40: Desenvolvimento de Ambiente para Caracterização Automática ... · Página 5 Agradecimentos Os meus sinceros agradecimentos à minha orientadora, Prof. Doutora Maria Helena Fino,

Capítulo 1– Introdução aos PLLs

Página 40

Page 41: Desenvolvimento de Ambiente para Caracterização Automática ... · Página 5 Agradecimentos Os meus sinceros agradecimentos à minha orientadora, Prof. Doutora Maria Helena Fino,

Capítulo 2

Filtros

2.1 Introdução

Os filtros são blocos utilizados em diversos sistemas electrónicos. São blocos imprescindíveis

na generalidade dos circuitos de transmissão e recepção de sinal, como PLLs, nomeadamente para a

rejeição de ruído, ou na implementação de moduladores/desmoduladores.

A forma mais simples, e mais comum, de caracterizar o comportamento de um filtro é através

do cálculo da função de transferência, equação (2.1), no domínio da frequência. Ou seja, o estudo do

bloco pode ser feito directamente a partir da relação entre o sinal de saída e o sinal de entrada.

( ) ( )( )svsv

sTi

o= (2.1)

Este princípio básico da análise de sistemas lineares e invariantes no tempo permite

simplificar e generalizar o estudo de qualquer circuito, independentemente da arquitectura interna

utilizada para implementar o filtro. A figura 2.1 representa um filtro genérico definido no domínio da

frequência.

Page 42: Desenvolvimento de Ambiente para Caracterização Automática ... · Página 5 Agradecimentos Os meus sinceros agradecimentos à minha orientadora, Prof. Doutora Maria Helena Fino,

Capítulo 2 – Filtros

Página 42

Figura 2.1 – Filtro genérico

Existem duas famílias distintas de filtros, os filtros passivos e os filtros activos. Os filtros

passivos são realizados usando apenas componentes passivos, isto é, resistências, condensadores e/ou

bobinas. Os filtros activos utilizam componentes activos, tais como AMPOPs, transconductores, ou

simples transístores, em conjunto com os componentes passivos, de forma a realizar as funções de

filtragem. Os filtros passivos são mais simples de realizar e não necessitam de um circuito de

alimentação e/ou de polarização.

Em PLLs, os filtros passivos são geralmente mais utilizados do que os activos, devido a estes

últimos provocarem maior aparecimento de ruído e serem mais caros. OS filtros mais comuns são os

de primeira e segunda ordem.

A utilização de um bloco de filtragem no PLL, tem geralmente como objectivo eliminar a

componente AC do sinal a aplicar ao VCO. Assim, de entre os tipos de filtro passivos, os filtros

passivos passa-baixo são os utilizados.

2.2 Filtro passivo passa-baixo

Os circuitos passivos básicos utilizados para realizar um filtro passa-baixo são o circuito RL

série e o circuito RC série. Caso o sinal de entrada seja uma fonte de corrente, os circuitos usados são

os circuitos duais resultantes da aplicação do teorema de Norton, ou seja, o circuito RL paralelo e o

circuito RC paralelo. A figura 2.2 representa dois destes circuitos.

FiltroT(s)

+Vi(s)

-

+Vo(s)

-

Page 43: Desenvolvimento de Ambiente para Caracterização Automática ... · Página 5 Agradecimentos Os meus sinceros agradecimentos à minha orientadora, Prof. Doutora Maria Helena Fino,

Capítulo 2 - Filtros

Página 43

Figura 2.2 – Filtros passivos passa-baixo de 1ª ordem

Tipicamente em um PLL, a função de transferência do filtro relaciona a tensão de saída, com a

corrente de entrada do filtro, ou seja, a impedância do filtro, equação (2.2). A primeira porque o sinal

de saída do filtro é aplicado ao VCO, composto por transístores CMOS que não possui correntes de

entrada. A segunda porque o sinal proveniente do detector de fase com “charge-pump” é em corrente.

( ) ( )( )sIsV

sZi

o= (2.2)

Em [4] é apresentada a topologia da figura 2.3 para a realização do filtro passa-baixo. Esta

topologia é adoptada, pois permite apresentar uma função de transferência genérica, passível de

representar a função de transferência de filtros até à quarta ordem.

Figura 2.3 – Filtro passivo de 3ª ordem

A função de transferência do filtro da figura 2.3 é

( ) ( ) ( )31

2

111

TsTssCTs

sZt ⋅+⋅⋅+⋅⋅

⋅+= (2.3)

A equação (2.3) é válida para filtros de várias ordens. A tabela 2.1, indica o valor dos parâmetros da

função de transferência para filtros de segunda a quarta ordem [4].

R

C+

vi

-

+

vo

-

R CIi

Io

VCO PD

C1/R2

Page 44: Desenvolvimento de Ambiente para Caracterização Automática ... · Página 5 Agradecimentos Os meus sinceros agradecimentos à minha orientadora, Prof. Doutora Maria Helena Fino,

Capítulo 2 – Filtros

Página 44

Parâmetros Filtro 1ª ordem Filtro 2ª ordem Filtro 3ª ordem

T1 222 CR ⋅⋅ tC

CCR 122 ⋅⋅

tCCCR 122 ⋅⋅

T2 22 CR ⋅ 22 CR ⋅ 22 CR ⋅ T3 0 0 33 CR ⋅

Ct 2

1R

21 CC + 321 CCC ++

Tabela 2.1 – Parâmetros da função de transferência

Existem dois parâmetros que caracterizam um filtro, a largura de banda e a margem de fase. A

largura de banda é o parâmetro crítico de um filtro, para aplicação em um PLL. Pois, a largura de

banda está directamente relacionada com o tempo de aquisição do PLL. Deve-se escolher uma largura

de banda para o filtro, que seja suficiente para satisfazer os requisitos de captura com margem

suficiente e eliminar o possível ruído.

A margem de fase é indicadora da estabilidade do filtro. Resultados indicados por [4],

demonstraram que uma margem de fase entre 40º e 55º graus, assegura um óptimo tempo de aquisição.

Sem perda de generalidade para o filtro de primeira ordem e particularizando para um filtro de

segunda ordem, a função de transferência do filtro segundo a equação (2.3) é

( ) ( )1

21

1TssC

TssZ

t ⋅+⋅⋅⋅+

= (2.4)

Para a caracterização do filtro é necessário determinar as constantes de tempo da equação

(2.4). Em [4] é proposta a equação (2.5).que relaciona a margem de fase φ com os pólos e zeros do

sistema.

( ) ( )12180 TarctgTarctg cc ⋅−⋅+= ωωφ (2.5)

De forma a maximizar a margem de fase para uma dada largura de banda (frequência de corte, cω ),

derivando a equação (2.5), obtêm-se a equação (2.6)

2

12

12

22

2

110

T

T

T

Tdd

c

c

c

c

c ⋅+

⋅−

⋅+

⋅==

= ω

ω

ω

ωωφ

ωω (2.6)

Page 45: Desenvolvimento de Ambiente para Caracterização Automática ... · Página 5 Agradecimentos Os meus sinceros agradecimentos à minha orientadora, Prof. Doutora Maria Helena Fino,

Capítulo 2 - Filtros

Página 45

As equações (2.5) e (2.6) formam um sistema de duas equações a duas incógnitas, logo é um sistema

solúvel. A capacidade total tC determina-se igualando o ganho em malha aberta à unidade, para a

frequência de corte cω .

( ) 1=sZ (2.7)

No caso do filtro passivo de 2ª ordem, apresentado, vem

( )

( )21

2

22

2

1

1

T

TC

cc

ct

⋅+⋅

⋅+=

ωω

ω (2.8)

2.3 Conclusões

De entre os dois tipos de filtro existentes, o filtro passivo é o usualmente usado para

aplicações em PLLs. Estes são menos sujeitos ao aparecimento de ruído provocado pelo próprio filtro,

de realização simples e mais económica, quando comparados com os filtros activos.

Neste capítulo é apresentada uma topologia para a implementação do filtro passivo passa-

baixo. Existem actualmente outras topologias para filtros passivos usadas. No entanto a topologia

apresentada, permite apresentar a função de transferência para várias ordens sob a forma de uma

equação genérica. Com a topologia e a tabela apresentadas, o cálculo dos parâmetros do filtro para a

implementação, torna-se mais fácil.

Page 46: Desenvolvimento de Ambiente para Caracterização Automática ... · Página 5 Agradecimentos Os meus sinceros agradecimentos à minha orientadora, Prof. Doutora Maria Helena Fino,

Capítulo 2 – Filtros

Página 46

Page 47: Desenvolvimento de Ambiente para Caracterização Automática ... · Página 5 Agradecimentos Os meus sinceros agradecimentos à minha orientadora, Prof. Doutora Maria Helena Fino,

Capítulo 3

Oscilador Controlado

por Tensão - VCO

3.1 Introdução

A implementação de um Oscilador Controlado por Tensão (em inglês Voltage Controlled

Oscillator - VCO) implica ter cuidados especiais com os factores que possibilitam uma variação

indesejada da frequência de oscilação, variações da tensão de alimentação, a possibilidade de jitter,

variação da temperatura e até mesmo variações no processo de fabrico podem influenciar a frequência

de oscilação do VCO.

Actualmente, devido às exigências de obtenção de sistemas com elevadas taxas de integração

a baixo custo, os VCOs em tecnologia CMOS tornaram-se muito atractivos. Existem dois tipos de

VCOs: os osciladores LC e os osciladores em anel. Os osciladores LC apresentam melhores

características relativamente ao ruído de fase. No entanto, a dificuldade de implementação de bobinas

de alta qualidade em processos CMOS bem como o facto de estes apresentarem uma faixa de

Page 48: Desenvolvimento de Ambiente para Caracterização Automática ... · Página 5 Agradecimentos Os meus sinceros agradecimentos à minha orientadora, Prof. Doutora Maria Helena Fino,

Capítulo 3 – Oscilador Controlado por Tensão - VCO

Página 48

sintonização reduzida, torna-os pouco atractivos. Os osciladores em anel, não obstante sejam piores do

ponto de vista de ruído de fase, são preferencialmente usados em aplicações em que as especificações

relativamente à frequência de sinal são muito rigorosas, como nos sintetizadores RF em aplicações em

fios [21][17]. Os osciladores em anel apresentam ainda a vantagem de ocuparem menor área e, se

implementados com um número par de andares, oferecerem a possibilidade de gerar sinais em fase e

quadratura [20]. A estrutura de um VCO em anel está representada na figura 3.1.

Figura 3.1– Estrutura de um VCO em anel

Neste capítulo serão apresentadas quatro topologias de células de atraso de VCOs em anel.

Será realizada uma descrição do funcionamento da célula de atraso de cada topologia. Não serão

esquecidas algumas considerações quanto aos limites de operação de cada topologia. A célula de

atraso deverá também ser caracterizada por ter um ganho adequado, operar em baixa tensão e um

controlo linear da frequência de oscilação [5].

3.2 Características das células de atraso

3.2.1 Ganho

Foi referido que o Oscilador Controlado por Tensão (VCO) é um sistema não linear. A análise

de um sistema desta natureza é uma tarefa complexa, devido à não aplicabilidade das transformadas

tempo-frequência. No entanto a análise do comportamento do VCO pode ser decomposta em duas

etapas. A primeira etapa analisando o comportamento linear, onde se pode aplicar a análise tempo-

frequência largamente utilizada no estudo de sistemas realimentados, como é o caso. A segunda etapa

depende de um método capaz de representar o termo não linear do comportamento do VCO [6]. É na

Page 49: Desenvolvimento de Ambiente para Caracterização Automática ... · Página 5 Agradecimentos Os meus sinceros agradecimentos à minha orientadora, Prof. Doutora Maria Helena Fino,

Capítulo 3 – Oscilador Controlado por Tensão - VCO

Página 49

análise do comportamento linear do VCO que se determina a frequência de oscilação da célula de

atraso. Considere-se a figura 3.2, representativa da arquitectura genérica de um oscilador.

Figura 3.2 – Arquitectura genérica de um VCO

Considere-se Hn(s) a função de transferência de cada célula de atraso e H(s) a função de

transferência das N células de atraso que compõem o VCO. A função de transferência em malha

fechada é

( )( )

( )( )s1

sss

HH

vv

in

out+

= (3.1)

De acordo com o critério de Barkhausen, para uma dada frequência de oscilação ω0, é

necessário que o VCO satisfaça duas condições de forma a garantir uma oscilação estável. Assim é

necessário que o ganho em malha aberta seja superior à unidade

( ) 10 ≥jωH (3.2)

e possuir uma margem de fase igual a π.

( ) π=∠ 0jωH (3.3)

Para um VCO com N células de atraso, obtêm-se

( )

N

pj

AH

⎟⎟⎟⎟⎟

⎜⎜⎜⎜⎜

+=

ωω0

00

1jω (3.4)

por outro lado têm-se que

⎟⎟⎠

⎞⎜⎜⎝

⎛=

parctgN

ωω

φ 0 (3.5)

H1(s) vin vout H2(s) Hn(s)

- +

H(s)

Page 50: Desenvolvimento de Ambiente para Caracterização Automática ... · Página 5 Agradecimentos Os meus sinceros agradecimentos à minha orientadora, Prof. Doutora Maria Helena Fino,

Capítulo 3 – Oscilador Controlado por Tensão - VCO

Página 50

das equações (3.4) e (3.5) determina-se que o ganho em malha aberta para a frequência de oscilação

ω0, deverá obedecer à equação (3.6)

N

secA π20 > (3.6)

Ao ser necessário um ganho mínimo para que o VCO possua uma oscilação estável, este irá

ser um factor que limitará o intervalo de frequências de trabalho do VCO.

3.2.2 Células de atraso

As células de atraso devem ser caracterizadas por um tempo de atraso, ou frequência de

oscilação bem definido e idêntico para todos os N andares que componham o oscilador. O atraso da

célula deve ser ajustado por uma tensão de controlo. Idealmente deveria ser essa tensão ctrlV a única

variável a influenciar o tempo de atraso. No entanto assim não acontece, pois o tempo de atraso da

célula é afectado por factores externos, sendo os mais relevantes as variações de tensão na fonte e

substrato dos transístores. Estas variações afectam o tempo de atraso, ou frequência de oscilação o que

leva a ao aparecimento de jitter. Uma das considerações a ter no desenvolvimento do VCO é

minimizar a sensibilidade a perturbações externas.

Devido ao avanço da microelectrónica, tem vindo a ser um desafio a implementação de VCO

a reduzida tensão de forma a permitir que se realizem em circuito integrado, evitando desta forma

alguns dos problemas existentes na implementação ao nível de circuito impresso. O VCO deve

permitir a variação de frequência sob um controlo linear de modo a garantir uma melhor estabilidade

ao PLL.

Uma célula de atraso é na sua forma mais simples a apresentada na figura 3.3. Esta é

constituída por um par diferencial NMOS, por duas cargas resistivas e uma fonte de corrente apenas

composta por um transístor NMOS.

Esta célula tem um funcionamento típico de um inversor, pois inverte e amplifica o sinal que

aparece na entrada. A excursão do sinal de saída é determinada pela carga resistiva e pela fonte de

corrente. O tempo de atraso da célula é determinado pela resistência efectiva da carga e pelas

capacidades vistas da saída.

Page 51: Desenvolvimento de Ambiente para Caracterização Automática ... · Página 5 Agradecimentos Os meus sinceros agradecimentos à minha orientadora, Prof. Doutora Maria Helena Fino,

Capítulo 3 – Oscilador Controlado por Tensão - VCO

Página 51

O controlo do tempo de atraso da célula é basicamente feito por variação da resistência

efectiva da carga. A variação das capacidades não é comum pois estas são praticamente determinadas

por construção da célula. Uma possível variação das capacidades será mínima, logo pouco influente na

variação do tempo de atraso. Assim a resistência terá de ser ajustável. No entanto os transístores MOS

apresentam uma característica VI − não linear, quando se considera toda a variação de excursão de

sinal [7].

A célula de atraso apresentada na figura 3.3, apresentará um bom controlo linear sob o tempo

de atraso e uma boa rejeição ao ruído provocado pela fonte de alimentação, se a carga resistiva

apresentar um razoável comportamento linear e for pouco sensível ao ruído. Neste tipo de células de

atraso, as cargas são tipicamente compostas por transístores PMOS.

Figura 3.3 – Célula de atraso com arquitectura diferencial

A resistência efectiva das cargas é fortemente determinada pela corrente no par diferencial.

Assim a sensibilidade à rejeição de ruído, é também imagem da sensibilidade da corrente a variações

de tensão da fonte, devido às capacidades associadas. De forma a minimizar a sensibilidade da célula

relativamente ao substrato dos transístores, a tensão de controlo é sempre aplicada a transístores

PMOS, e referida à fonte de alimentação. Neste tipo de transístores, o substrato encontra-se ligado ao

potencial mais elevado do circuito, ou seja a alimentação. Uma variação da tensão de alimentação

provoca a mesma variação no substrato, minimizando a capacidade associada. Minimizando o ruído

Page 52: Desenvolvimento de Ambiente para Caracterização Automática ... · Página 5 Agradecimentos Os meus sinceros agradecimentos à minha orientadora, Prof. Doutora Maria Helena Fino,

Capítulo 3 – Oscilador Controlado por Tensão - VCO

Página 52

do substrato, diminui-se a dependência da tensão de controlo ao ruído, pois este influência a tensão de

“threshold”, tV . Normalmente os transístores NMOS são preteridos em função dos PMOS quando é

necessário aplicar um sinal externo ao circuito.

Outra questão que se coloca neste tipo de circuito, prende-se com a sensibilidade da fonte de

corrente NMOS utilizada. A excursão do sinal é dependente da tensão de alimentação. Tendo em

consideração a célula da figura 3.3, é perceptível que uma variação da fonte faz variar a tensão de

dreno da fonte de corrente. Devido à limitação da impedância de saída da fonte de corrente, irá haver

uma variação da corrente, logo uma variação na frequência de oscilação. Este problema será

ultrapassado com um circuito de polarização, que será apresentado neste capítulo. Outras técnicas,

como o uso de fontes em cascata são também utilizadas, limitando a tendência de realizar circuito a

reduzida tensão.

No próximo ponto deste capítulo serão apresentadas algumas topologias de células de atraso,

as quais podem ser classificadas em dois tipos quanto à zona de funcionamento dos dispositivos

CMOS: do tipo saturado e não saturado. No tipo saturado, os transístores CMOS que compõem as

células de atraso, podem funcionar como interruptores, isto é, metade dos transístores que compõem a

célula estão desligados enquanto a outra metade se encontra na zona de condução. Este tipo de células

embora apresentem um bom desempenho quanto ao ruído, tem a desvantagem de manifestar uma

característica não linear [8], factor indesejável para o controlo da frequência de oscilação. Por outro

lado nas células de tipo não saturado, todos os transístores estão sempre em condução. Devido a esta

característica, estas células de atraso apresentam um comportamento idêntico ao de um amplificador

linear, sendo caracterizadas por um modelo linear [8].

Em [2] é apresentada uma célula de atraso com uma arquitectura diferencial para aplicação em

recuperadores de relógio. A arquitectura diferencial é proposta com o objectivo de reduzir o jitter e

amortecer possíveis variações da tensão de alimentação. Em relação às arquitecturas não diferencias,

apresenta duas desvantagens. A primeira deve-se a facto de possuir um tempo de atraso superior, logo

menor frequência de trabalho. A segunda está relacionada com o controlo da célula de atraso para a

frequência de trabalho. Para suprimir esta desvantagem é adicionada uma célula de auto-regulação da

tenção de controlo [2].

Page 53: Desenvolvimento de Ambiente para Caracterização Automática ... · Página 5 Agradecimentos Os meus sinceros agradecimentos à minha orientadora, Prof. Doutora Maria Helena Fino,

Capítulo 3 – Oscilador Controlado por Tensão - VCO

Página 53

Outra arquitectura diferencial é apresentada em [7]. A célula de atraso com carga simétrica é

proposta. Os transístores PMOS em simetria apresentam uma elevada linearidade de operação. Permite

também controlar o atraso da célula, por meio da tensão de controlo aplicada à carga. Uma única

equação é determinada de forma a representar a frequência de oscilação. Esta é baseada nas equações

de corrente para a região de funcionamento dos transístores da célula de atraso. As capacidades

parasitas associadas à tecnologia CMOS, assim como a resistência efectiva da carga em simetria, são

também tidas em consideração.

Em [8] é também apresentada uma arquitectura diferencial para uma célula de atraso. Esta

célula é do tipo saturado, com entrada dupla de sinal de forma a diminuir o ruído de fase e tornando

mais rápida a comutação dos transístores. O principal objectivo desta estrutura é diminuir o tempo de

atraso da célula, logo aumentar a frequência de oscilação.

Uma arquitectura diferencial com carga simétrica é proposta em [9]. Caracterizada por elevada

frequência de trabalho, a rondar os 900 MHz, baixo consumo, baixo sensibilidade ao ruído de fase e

rápida comutação. É apresentada uma equação para a frequência de oscilação com base nas

transcondutâncias do circuito e nas capacidades parasitas associadas.

3.3 1ª Arquitectura (Weigandt - 1998)

A arquitectura proposta em [2] para o Oscilador Controlado por Tensão (VCO) é a

apresentada na figura 3.4. O VCO é composto por N células de atraso em montagem diferencial e por

um circuito de polarização de modo a ajustar o nível de tensão nas gates dos transístores PMOS,

permitindo fixar a excursão do sinal de saída do VCO. O circuito de polarização, impõe uma tensão na

gate dos transístores PMOS de forma a que quando o circuito de polarização é percorrido pela corrente

ISS apresente SWPMOSDS VV = .

Os transístores PMOS do circuito de atraso operam na região de tríodo. Devido a este facto

são designados de cargas, pois funcionam como se de uma resistência se tratasse. O tempo de atraso

da célula apresentada é dado pela equação (3.7) [2].

Page 54: Desenvolvimento de Ambiente para Caracterização Automática ... · Página 5 Agradecimentos Os meus sinceros agradecimentos à minha orientadora, Prof. Doutora Maria Helena Fino,

Capítulo 3 – Oscilador Controlado por Tensão - VCO

Página 54

SS

SWLd I

VCt = (3.7)

onde LC representa a capacidade vista da saída.

Figura 3.4 – Célula de atraso com arquitectura diferencial

Se a corrente SSI for variável e SWV mantido constante através do circuito de polarização

obtêm-se assim uma variação do tempo de atraso da célula, logo uma variação da frequência.

Mantendo as cargas na zona de tríodo e variando a corrente, é como variar a resistência da carga e

assim variar dt como referido.

É desejável manter as cargas PMOS numa boa zona da região de tríodo, de forma a obter uma

característica linear de resistência, figura 3.5. Deste modo é desejado um DSV elevado, ou seja, um

pequeno SWV , pois para se manter na região de tríodo terá de se verificar a condição da equação (3.8).

( ) TPGSoutDD VVVV −≤− max (3.8)

Os transístores do par diferencial funcionam como interruptores (“switch”), quando em

condução trabalham na região de saturação.

+

Vsw

Vref = Vdd - Vsw

Iss

Circuito de polarização Célula de atraso

Page 55: Desenvolvimento de Ambiente para Caracterização Automática ... · Página 5 Agradecimentos Os meus sinceros agradecimentos à minha orientadora, Prof. Doutora Maria Helena Fino,

Capítulo 3 – Oscilador Controlado por Tensão - VCO

Página 55

Figura 3.5 – Característica ID (VDS) do transístor PMOS

Sendo simétrica a característica ID(VDS) para os transístores NMOS à apresentada na figura

3.5, verifica-se que estes apresentam uma característica constante na região de saturação. Para os

Transístores NMOS se manterem na região de saturação tem de se verificar a condição

TNGSDS VVV −≥ (3.9)

a equação (3.9) pode-se reescrever na forma

TNSDDSSWDD VVVVVV −−≥−−

simplificando vem

TNSW VV ≤ (3.10)

A equação (3.10) evidência outro factor importante no dimensionamento de um VCO.

A frequência de oscilação da topologia apresentada é dada por

SWL

SS

dosc VCN

ItN

f⋅⋅⋅

=⋅⋅

=22

1 (3.11)

A relação SWSS VI representa o inverso da resistência efectiva na região de tríodo das cargas. Pela

análise de pequenos sinais obtêm-se

( )DSTGSoxn

DS

DL

VVVL

WCdVdIR

−−⋅⋅⋅=⎟⎟

⎞⎜⎜⎝

⎛=

µ

11

(3.12)

este valor de resistência é uma representação do valor médio da curva característica ID(VDS), para a

região de tríodo. É o ponto médio onde 2SWDS VV = [2].

ID

VDS

Região Tríodo

Região Saturação

Page 56: Desenvolvimento de Ambiente para Caracterização Automática ... · Página 5 Agradecimentos Os meus sinceros agradecimentos à minha orientadora, Prof. Doutora Maria Helena Fino,

Capítulo 3 – Oscilador Controlado por Tensão - VCO

Página 56

A capacidade LC vista da saída é a associação da capacidade GSC do andar seguinte, da

capacidade DGC assim como as demais capacidades parasitas associadas. Esta capacidade LC é dada

aproximadamente por [2],

oxLL CLWKC ⋅⋅⋅= (3.13)

expressa em função dos parâmetros W e L das cargas, ou seja, dos transístores PMOS. Substituindo as

equações (3.12) e (3.13) em (3.11) vem assim

⎟⎠⎞

⎜⎝⎛ −−⋅

⋅⋅

⋅=

221

2SW

TPGSL

nosc

VVV

LKNf

µ (3.14)

A equação (3.14) apresenta quais os parâmetros que têm influencia na frequência de oscilação.

Pode-se concluir que a frequência de oscilação depende:

Do número de andares, N;

Das capacidades representadas pela constante LK ;

Da limitação da tecnologia usada, 2Lnµ ;

Do valor de ( )TGS VV − e SWV , pois influenciam o valor da resistência efectiva da carga.

A frequência de oscilação de um VCO com arquitectura diferencial e cargas PMOS é

facilmente variável. Enquanto o circuito de polarização fixa o valor da excursão do sinal de saída, a

variação de corrente SSI permite variar a frequência.

Para um SWV fixo a variação de SSI , vai variar o termo ( )TGS VV − , variando o valor da

resistência da carga, pela equação (3.12). A passagem das cargas PMOS ao estado de saturação ou

corte, limita por si só o desempenho do oscilador.

No entanto, o oscilador é controlado por tensão e não pela variação directa de corrente. Em [2]

o autor propõe uma topologia par diferencial em entrada diferencial, alimentando um espelho de

corrente, como apresentado na figura 3.6. Esta topologia tem a vantagem de permitir uma tensão de

controlo diferencial diminuindo o ruído. É também compatível com a implementação em arquitectura

diferencial de filtros e detectores de fase com charge pumps.

Page 57: Desenvolvimento de Ambiente para Caracterização Automática ... · Página 5 Agradecimentos Os meus sinceros agradecimentos à minha orientadora, Prof. Doutora Maria Helena Fino,

Capítulo 3 – Oscilador Controlado por Tensão - VCO

Página 57

Figura 3.6 – Controlo do VCO por tensão

3.4 2ª Arquitectura (J. Maneatis - 1994)

A carga resistiva é sem dúvida o elemento mais crítico da célula de atraso. A carga resistiva é

escolhida para proporcionar um controlo sobre o tempo de atraso, para limitar a excursão do sinal de

saída e uma boa rejeição de ruído. Em [7] é proposta uma implementação para a célula de atraso com

cargas simétricas e um circuito de polarização para controlar a fonte de corrente, como mostra a figura

3.7.

Figura 3.7 – Célula de atraso com cargas simétricas

Circuito de polarização Célula de atraso

Carga Simétrica

Page 58: Desenvolvimento de Ambiente para Caracterização Automática ... · Página 5 Agradecimentos Os meus sinceros agradecimentos à minha orientadora, Prof. Doutora Maria Helena Fino,

Capítulo 3 – Oscilador Controlado por Tensão - VCO

Página 58

As cargas simétricas proporcionam uma elevada rejeição de ruído, assim como o circuito

polarização que permite controlar a corrente na célula de forma a isolar a fonte de corrente de ruído na

fonte e substrato dos transístores [7]. A carga simétrica é composta por dois transístores PMOS iguais,

com um dos transístores ligado em configuração de díodo. O outro transístor recebe a tensão de

controlo ctrlV . Esta tensão é também aplicada ao circuito de polarização de modo a gerar a tensão biasV

aplicada à fonte de corrente, permitindo controlar o tempo de atraso da célula pelo ajuste da corrente

[7].

3.4.1 Cargas Simétricas

Para se obter elevada rejeição de ruído, a carga deverá ter uma característica VI − linear. O

aparecimento de ruído proveniente da alimentação do circuito irá provocar perturbações na tensão das

saídas da célula, resultado da variação das capacidades parasitas associadas à saída. Estas perturbações

são comuns a ambas as saídas da célula. Se as cargas possuírem característica linear, a resistência

diferencial da saída é independente da tensão de modo comum [7]. Como o tempo de atraso da célula

depende fortemente da resistência efectiva da carga, este não será afectado pelo aparecimento de ruído

na alimentação. No entanto, como já foi referido, as cargas resistivas com transístores MOS não

conseguem manter a linearidade para um dado intervalo de tensão de controlo.

J. Maneatis mostra que as cargas simétricas obtêm elevada rejeição de ruído, não como

resultado de uma característica totalmente linear, mas sim por possuírem uma característica VI −

simétrica. Considere-se a carga simétrica apresentada na figura 3.8.

Figura 3.8 – Carga simétrica

A característica ( )LL VI está representada na figura 3.9.

+

Vc

-

+

VL

-

IL = IL1 + IL2

L1 L2

Page 59: Desenvolvimento de Ambiente para Caracterização Automática ... · Página 5 Agradecimentos Os meus sinceros agradecimentos à minha orientadora, Prof. Doutora Maria Helena Fino,

Capítulo 3 – Oscilador Controlado por Tensão - VCO

Página 59

Figura 3.9 – Característica IL(VL)

A tensão nas saídas de uma célula de atraso diferencial com cargas simétricas, sem efeito de

ruído, é sempre simétrica em relação à tensão de modo comum. Esta simetria resulta do facto de a

corrente em cada ramo do par diferencial ser igual para valores complementares da excursão de sinal

de saída [7]. Assim, para qualquer instante temporal a resistência incremental em cada saída é igual.

Desde que a resistência e as capacidades sejam iguais em ambas as saídas, o aparecimento de ruído irá

provocar igual variação de tensão em cada saída.

Como o tempo de atraso, ou frequência de oscilação da célula depende da resistência de saída

que por sua vez depende da tensão de modo comum, este não será afectado pelo aparecimento de

ruído.

Em comparação com uma célula diferencial de cargas não simétricas, devido à característica

VI − não ser linear nem simétrica com a excursão do sinal de saída, quando nas saídas as tensões

forem complementares, as correntes em cada ramo do par diferencial serão diferentes. Correntes

diferentes levará a que as tensões de saída variem a frequências diferentes, ou seja, cada saída

apresenta um tempo de atraso diferente. Consequentemente a qualquer instante temporal a resistência

incremental será diferente em cada saída. O aparecimento de ruído irá causar diferentes variações na

tensão de saída, tornando o oscilador demasiado vulnerável [7].

3.4.2 Frequência de oscilação

Em [5] a resistência efectiva é considerada directamente proporcional à resistência

incremental pela análise em pequenos sinais para o ponto em que CL VV = , considerando apenas um

I L

VL

VL = VC

Page 60: Desenvolvimento de Ambiente para Caracterização Automática ... · Página 5 Agradecimentos Os meus sinceros agradecimentos à minha orientadora, Prof. Doutora Maria Helena Fino,

Capítulo 3 – Oscilador Controlado por Tensão - VCO

Página 60

dos transístores de carga. O tempo de atraso da célula é dado pela equação (3.15), onde effR representa

a resistência efectiva e effC a capacidade efectiva vista da saída.

effeffeffd Cgm

CRt ⋅=⋅=1 (3.15)

A corrente que percorre um dos transístores, considerando o modelo quadrático, é dada pela

equação (3.16)

( )2

2 TCD VVBI −⋅= (3.16)

vem

( )TC VVBgm −⋅= (3.17)

A frequência de oscilação para o VCO com múltiplos andares é representada pela equação

(3.18)

( )eff

TC

dosc CN

VVBtN

f⋅⋅−⋅

=⋅⋅

=22

1 (3.18)

De modo a garantir melhores resultados foi proposta em [5], outra equação representativa da

frequência de oscilação, aproximada à equação (3.18)

( )

Ceff

TCosc VCN

VVBf

⋅⋅⋅−⋅

=2

2

(3.19)

3.4.3 Circuito de polarização

O circuito de polarização apresentado na figura 3.7, tem duas funções. A primeira garantir que

a corrente absorvida pela fonte de corrente NMOS, garanta que uma correcta simetria das cargas. A

segunda, para ultrapassar a desvantagem de a fonte de corrente possuir impedância de saída finita, e

deste modo ajustar dinamicamente a tensão de polarização de forma a manter a corrente constante e

independente do ruído.

Page 61: Desenvolvimento de Ambiente para Caracterização Automática ... · Página 5 Agradecimentos Os meus sinceros agradecimentos à minha orientadora, Prof. Doutora Maria Helena Fino,

Capítulo 3 – Oscilador Controlado por Tensão - VCO

Página 61

3.5 3ª Arquitectura (Park, Kim - 1999)

A topologia para célula de atraso proposta em [8] está apresentada na figura 3.10. O oscilador

controlado por tensão é composto por quatro células de atraso. Apresenta uma frequência de trabalho

de 900MHz, para aplicação em um sintetizador de frequência. A célula apresentada tem uma

arquitectura diferencial, e os transístores de entrada NMOS fazem comutação completa. O VCO

apresenta um melhor desempenho quanto ao ruído, mais rapidez de comutação, possibilitando maior

frequência de oscilação, em relação aos circuitos LC.

Figura 3.10 – Célula de atraso diferencial

A célula de atraso possui uma estrutura diferencial para reduzir o possível ruído provocado

pela fonte de alimentação. É aqui renunciado o uso da fonte de corrente, com objectivo de reduzir o

ruído em f1 . Os transístores M3 e M4 (PMOS) funcionam como cargas resistivas. Os transístores M5

e M6 (NMOS) com ligação cruzada com as cargas, têm como objectivo controlar a tensão aplicada às

cargas, de forma a controlar a corrente na carga.

A frequência de oscilação de um oscilador controlado por tensão é tipicamente dada pela

equação (3.20), ou seja, depende do tempo de atraso de uma das células que o compõe. O tempo de

M1 M2

M5 M6

M7 M3 M4 M8

Page 62: Desenvolvimento de Ambiente para Caracterização Automática ... · Página 5 Agradecimentos Os meus sinceros agradecimentos à minha orientadora, Prof. Doutora Maria Helena Fino,

Capítulo 3 – Oscilador Controlado por Tensão - VCO

Página 62

atraso mínimo está assim limitado ao tempo de atraso de um simples inversor, limitando a máxima

frequência de oscilação.

dosc tN

f⋅⋅

=2

1 (3.20)

Por forma a possibilitar uma maior frequência de oscilação, foram colocados em paralelo com

as cargas dois transístores, M7 e M8. Estes transístores recebem a tensão de saída da célula de atraso

anterior aquela que fornece o sinal aos transístores M1 e M2, como mostra a figura 3.11.

Figura 3.11 – Estrutura do VCO com entrada dual

A finalidade desta estrutura é colocar antecipadamente em estado de condução os transístores

M3 e M4, pois os transístores PMOS são mais lentos na transição de estado de condução em relação

aos NMOS. Assim, quando os transístores M5 e M6 transitarem de estado já as cargas se encontram em

condução, contribuindo para um menor tempo de atraso da célula e redução de ruído [8].

A frequência de oscilação do VCO proposto em [8], rege-se pela equação (3.21)

( )221

12

1CRfN

fm

osc⋅⋅⋅⋅+

⋅⋅

(3.21)

3.6 4ª Arquitectura (Yan, Luong - 2001)

Em [9] é apresentado um oscilador controlado por tensão, parte integrante de um sintetizador

de frequência para aplicação em receptor GSM. É proposta a implementação em circuito integrado,

com o objectivo de aumentar a frequência de operação e diminuir o consumo, em oposição aos

circuitos em tecnologia híbrida. A implementação em circuito integrado torna o projecto de tais

Page 63: Desenvolvimento de Ambiente para Caracterização Automática ... · Página 5 Agradecimentos Os meus sinceros agradecimentos à minha orientadora, Prof. Doutora Maria Helena Fino,

Capítulo 3 – Oscilador Controlado por Tensão - VCO

Página 63

circuitos atractivo, pois permite a operação em reduzida tensão e uma rápida comutação dos

dispositivos. A célula de atraso que compõe o VCO proposto em [9] é apresentada na figura 3.12.

Figura 3.12 – Célula de atraso

A célula de atraso diferencial, consiste em transístores NMOS (Mn1) como entrada do circuito

que funcionam como interruptores, os transístores PMOS (Mp1, Mp2) representam a carga resistiva e o

transístor PMOS (Mb1) faz o controlo da frequência de oscilação através da corrente que o percorre. De

forma a maximizar a excursão de sinal de saída e diminuir a sensibilidade ao ruído, os transístores Mp1

estão ligados à alimentação do circuito.

A frequência de oscilação de oscilador em anel, já apresentada em ponto anterior, é dada por

CRNtN

feffd

osc ⋅⋅⋅=

⋅⋅=

21

21 (3.22)

em que C é a capacidade vista da saída e effR a resistência à saída da célula. A frequência de

oscilação da célula apresentada na figura 3.12, evolui segundo a equação (3.23) [9].

( )

L

Lmpmpmnosc C

Gggg

Nf

221

21

21 ++−−

⋅⋅

= (3.23)

onde

( )1

221

21

1−

− ⎟⎠⎞⎜

⎝⎛ ++−−== Lmpmpmntotaleff GggggmR (3.24)

e

211 dpdpdnL gggG ++= (3.25)

Mb1

Mn1 Mn1

Mp1 Mp1 Mp2 Mp2

Page 64: Desenvolvimento de Ambiente para Caracterização Automática ... · Página 5 Agradecimentos Os meus sinceros agradecimentos à minha orientadora, Prof. Doutora Maria Helena Fino,

Capítulo 3 – Oscilador Controlado por Tensão - VCO

Página 64

em que mg é a transcondutância, dg a condutância do transístor.

Segundo a equação 3.23, quando a tensão de controlo é nula ( )0=ctrlV , o transístor Mp2 em

configuração de díodo está em condução de forma a cancelar 1mng e a célula está a operar à frequência

de oscilação máxima. Quando a tensão de controlo é máxima ( )ddctrl VV = , o transístor Mp2 encontra-

se ao corte ( )02 =mpg e a célula opera à frequência de oscilação mínima. Da equação (3.23) pode-se

obter as equações (3.26), (3.27).

L

mn

Cg

f 1max 2

1⋅

⋅≈

π (3.26)

2

21

21

min 21

L

mpmn

Cgg

f−

⋅⋅

≈π

(3.27)

pode reescrever-se a equação (3.23) na forma da equação (3.28)

⎟⎟⎟

⎜⎜⎜

⎟⎟⎠

⎞⎜⎜⎝

⎛−−⋅≈

2

1

1max 11

mn

mposc g

gff (3.28)

3.7 Conclusões

As células de atraso com arquitectura diferencial apresentam um bom desempenho no que diz

respeito à supressão de ruído, redução de jitter. Estas células apresentam ainda, elevada frequência de

trabalho, baixo consumo e rápida comutação. A arquitectura diferencial presente nas células

apresentadas, tem como principal vantagem permitir um melhor controlo sobre a frequência de

trabalho. No entanto apresenta a desvantagem de possuir uma menor frequência de trabalho devido ao

tempo de atraso da célula ser superior em relação às células não diferenciais.

A célula de atraso com carga simétrica, destaca-se por apresentar uma elevada linearidade de

operação. O controlo do tempo de atraso da célula é essencial feito por variação da resistência efectiva

da carga, o que diminui as variáveis em jogo no que diz respeito ao controlo da célula. Esta será a

arquitectura em estudo neste trabalho.

Page 65: Desenvolvimento de Ambiente para Caracterização Automática ... · Página 5 Agradecimentos Os meus sinceros agradecimentos à minha orientadora, Prof. Doutora Maria Helena Fino,

Capítulo 4

Aplicação Desenvolvida

4.1 Introdução

A existência de programas que permitam o desenvolvimento automático de modelos dos

componentes de PLLs é fundamental por forma a tornar possível a simulação a nível comportamental

dos referidos circuitos.

No presente capítulo são descritos os modelos comportamentais desenvolvidos que permitem

caracterizar de forma simples e precisa os elementos de PLLs, tornando possível a simulação a nível

comportamental. A validação dos modelos desenvolvidos é realizada por ligação ao simulador Hspice.

Os modelos a determinar devem satisfazer os requisitos da aplicação de mais alto nível, cuja

interface com o utilizador se ilustra na figura 4.1. Nesta aplicação o utilizador caracteriza o detector de

fase e o oscilador admitindo que ambos têm característica linear, como apresentado na capítulo 1. O

utilizador escolhe o tipo de filtro que pretende que usar no PLL, além de indicar qual a largura de

banda pretendida. É igualmente pedida a frequência do sinal a capturar pelo PLL. Se houver condições

de captura de sinal, são devolvidos os valores estimados para a largura de banda e para a margem de

Page 66: Desenvolvimento de Ambiente para Caracterização Automática ... · Página 5 Agradecimentos Os meus sinceros agradecimentos à minha orientadora, Prof. Doutora Maria Helena Fino,

Capítulo 4 – Aplicação Desenvolvida

Página 66

captura do PLL Esta aplicação de alto nível é realizada tendo em consideração os modelos dos blocos

do PLL expostos no capítulo 1.

Figura 4.1 – Interface de alto nível da aplicação

Na aplicação desenvolvida, dos três blocos que constituem um PLL, apenas o filtro e o VCO

são caracterizados. O detector de fase é constituído por circuitos digitais de forma a garantir elevada

frequência de trabalho e redução de jitter. Os circuitos que constituem o detector de fase são

tipicamente flip-flops do tipo D e algumas portas lógicas [24]. Devido a esta constituição por circuitos

puramente digitais, a caracterização do PD torna-se desnecessária neste trabalho.

4.1.1 Funcionamento da aplicação

Após a introdução de todos os parâmetros de entrada, a aplicação começa por determinar o

ganho do PD e do VCO segundo as equações (1.2) e (1.7) e considerando as características do PD e do

VCO apresentadas na figura 4.2.

Para cada uma das características obtêm-se os ganhos

Page 67: Desenvolvimento de Ambiente para Caracterização Automática ... · Página 5 Agradecimentos Os meus sinceros agradecimentos à minha orientadora, Prof. Doutora Maria Helena Fino,

Capítulo 4 – Aplicação Desenvolvida

Página 67

radVVV

K d 19.012

12 =−−

=θθ

(4.1)

e

sVradMVVFreqFreq

Ko 66.16612

12 =−−

= (4.2)

a) b)

Figura 4.2 – Característica de blocos constituintes de PLL

a) Detector de fase; b) Oscilador controlado por tensão

A função de transferência do filtro é determinada com base na equação (2.3) e o valor dos

parâmetros segundo a tabela 2.1, apresentadas no segundo capítulo. Para o exemplo apresentado na

figura 4.1, vem para o filtro de 1ª ordem a função de transferência apresentada na equação (4.3)

( ) ( )1

2

11

TsATs

sFo ⋅+⋅

⋅+= (4.3)

Para baixas frequências, 0→s , a função de transferência reduz-se a

( )oA

F 10 = (4.4)

para o exemplo apresentado resulta

( ) 04.10 =F

-1.5 -1 -0.5 0 0.5 1 1.50

0.2

0.4

0.6

0.8

1

0.4 0.5 0.6 0.7 0.8 0.9 1 1.1

140

160

180

200

220

240

260

-1.5 -1 -0.5 0 0.5 1 1.5 θe (rad)

1

0.8

0.6

0.4

0.2

0

V d (V

olts

)

0.4 0.6 0.8 1 1.2

Vc (Volts)

140

160

180

200

220

240

260

ωc (

Mra

d/s)

Page 68: Desenvolvimento de Ambiente para Caracterização Automática ... · Página 5 Agradecimentos Os meus sinceros agradecimentos à minha orientadora, Prof. Doutora Maria Helena Fino,

Capítulo 4 – Aplicação Desenvolvida

Página 68

O próximo passo é verificar se existem condições de captura, isto é, se existe algum valor de

dV que leve a um valor de cV capaz de produzir um sinal com uma frequência igual à frequência do

sinal a capturar, iω .

Figura 4.3 – Modelo do PLL

Recordando na figura 4.3 o modelo do PLL, pode-se determinar a expressão que relaciona o

erro de fase eθ com a frequência produzida pelo oscilador oω , equação (4.5).

( ) ( ) freeodoodeo KsFVKsFK ωθω +⋅⋅+⋅⋅⋅= (4.5)

Para o VCO produzir um sinal com uma frequência de oscilação de sMrad /180 , precisa de

uma tensão de controlo de V68.0 . Dado que o filtro tem um ganho de 1.04, o detector de fase deve

produzir uma tensão

Vvd 65.004.168.0

==

como este valor está dentro do intervalo da característica do PD, haverá condições para a captura do

sinal.

De seguida calcula-se a Largura de Banda do PLL, segundo a equação (1.19).

( ) od KFKLB ⋅⋅= 0 (4.6)

obtêm-se

sMradsrad

Hzs

sVMrad

radVLB

/207/21093.32

1093.3211093.32

66.16604.119.0

6

66

=⋅⋅⋅=

⋅=⋅=

⋅⋅⋅=

π

A margem de captura, é o intervalo de frequência em que a tensão produzida pelo PD

consegue leva a uma tensão de controlo do VCO capaz de produzir um sinal com uma dada frequência

Kd

Vdo

-θo

θe vd F(s) K0 ∫ dt

vc

ωfree

ωo θo

Page 69: Desenvolvimento de Ambiente para Caracterização Automática ... · Página 5 Agradecimentos Os meus sinceros agradecimentos à minha orientadora, Prof. Doutora Maria Helena Fino,

Capítulo 4 – Aplicação Desenvolvida

Página 69

dentro dos limites de operação do VCO. No exemplo apresentado na figura 4.1, tem-se para a tensão

mínima do PD um valor de tensão à entrada do oscilador de

( ) VFvv dc 41.004.14.00minmin =⋅=⋅=

o que levaria o VCO a produzir um sinal de frequência sMrad /5.136 . Ora, este valor está fora dos

limites de operação do VCO, assim a frequência mínima de captura será a mínima frequência de

operação do oscilador. Cálculo idêntico é realizado para a máxima frequência de captura.

O erro de fase estático é determinado pela equação (4.5),

( ) radKV

KFK d

do

od

freeoe 24.0

0−=−

⋅⋅

−=

ωωθ

Nas secções 4.2 e 4.3 são apresentadas aplicações que permitem caracterizar o filtro e o

oscilador controlado por tensão.

4.2 Filtro

Para a realização do filtro é necessário optar entre pelos filtros activos ou passivos. Os filtros

activos utilizam componentes activos, tais como AMPOPs, transconductores, ou simples transístores,

em conjunto com os componentes passivos, de forma a realizar as funções de filtragem. Os filtros

passivos são mais simples de realizar e não necessitam de um circuito de alimentação e/ou de

polarização. Em PLLs, os filtros passivos são geralmente mais utilizados do que os activos, devido a

estes últimos provocarem maior aparecimento de ruído e serem mais caros.

Os filtros passivos passa-baixo são frequentemente utilizados em PLLs. Os filtros têm como

finalidade eliminar a componente de frequência dupla resultante do PD (no caso de este ser

implementado com um multiplicador) e ainda aumentar a Largura de banda do PLL sem aumentar o

erro estático. Existem as mais diversas topologias de filtros, em todas o comportamento dos circuitos

que constituem os sistemas de filtragem, é bem conhecido.

Page 70: Desenvolvimento de Ambiente para Caracterização Automática ... · Página 5 Agradecimentos Os meus sinceros agradecimentos à minha orientadora, Prof. Doutora Maria Helena Fino,

Capítulo 4 – Aplicação Desenvolvida

Página 70

Neste trabalho não foi realizado nenhum estudo para escolher a melhor topologia para o filtro,

pois os filtros passivos passa-baixo são de implementação bastante simples. Assim, foi adoptada a

topologia apresentada no capítulo 2.

Neste ponto pretende-se apresentar uma aplicação capaz de determinar o valor dos

componentes do sistema de filtragem desejado, para uma determinada largura de banda.

4.2.1 Integração no modelo do PLL

O filtro a caracterizar deve satisfazer as características pedidas na interface de alto nível, ou

seja, deve satisfazer a largura de banda desejada. Pode-se também determinar a função de

transferência do filtro de modo a ser introduzida no modelo do PLL de alto nível apresentado no

capítulo 1.

4.2.2 Filtro – Aplicação desenvolvida

A aplicação desenvolvida permite caracterizar um filtro passivo passa-baixo de primeira ou

segunda ordem, para uma dada largura de banda.

O trabalho desenvolvido divide-se em duas partes. A primeira recorrendo ao processo de

cálculo do software Matlab, a segunda parte, utilizando o software Hspice de forma a corroborar os

resultados obtidos com a aplicação em Matlab.

O fluxograma da figura 4.4 representa o algoritmo de cálculo do dimensionamento do filtro.

As topologias do filtro de primeira e segunda ordem, estão representadas na figura 4.5. O

dimensionamento do filtro inicia-se com base na função de transferência. As equações (4.7) e (4.8)

representam a função de transferência do filtro de primeira e segunda ordem respectivamente.

( ) ( )1

2

11

TsATs

sZo ⋅+⋅

⋅+= (4.7)

( ) ( )1

2

11

TssATs

sZo ⋅+⋅⋅

⋅+= (4.8)

Page 71: Desenvolvimento de Ambiente para Caracterização Automática ... · Página 5 Agradecimentos Os meus sinceros agradecimentos à minha orientadora, Prof. Doutora Maria Helena Fino,

Capítulo 4 – Aplicação Desenvolvida

Página 71

Figura 4.4 – Fluxograma do dimensionamento do filtro

A margem de fase para ambas os filtros, é dada pela equação (4.9) [4]

( ) ( )12180 TarctgTarctg cc ⋅ω−⋅ω+=φ (4.9)

onde ωc é a largura de banda do filtro. De forma a maximizar a margem de fase, deriva-se a equação

(4.9) e iguala-se a zero, equação (4.10).

011 2

12

12

22

2 =⋅ω+

⋅ω−

⋅ω+

⋅ω⇔

ωφ

ω=ω TT

TT

dd

c

c

c

c

c

(4.10)

a equação (4.10) tem como solução

1

21

TT

cc ⋅ω

=⋅ω (4.11)

substituindo a equação (4.11) em (4.9), obtêm-se a constante de tempo T1

( ) ( )c

tgsecTω

φ−φ=1 (4.12)

LB, ordem filtro

Ficheiro simulação Simulação Hspice

LBHspice ≅ LB

Diminuir Cap Aumentar Cap

LBHspice < LB LBHspice > LB

1ª Ordem

Cap, Res

Sim

Cap1, Cap2, Res

Não

Page 72: Desenvolvimento de Ambiente para Caracterização Automática ... · Página 5 Agradecimentos Os meus sinceros agradecimentos à minha orientadora, Prof. Doutora Maria Helena Fino,

Capítulo 4 – Aplicação Desenvolvida

Página 72

Figura 4.5 – Filtro passivo passa-baixo

O parâmetro Ao da função de transferência, determina-se igualando o ganho em malha aberta à

unidade, para a frequência de corte cω , ou seja para a largura de banda. Para o caso da presente

aplicação, na tabela 4.1 resumem-se os parâmetros da função de transferência dos dois tipos de filtro

baseado no modelo apresentado em [4]

Após determinados os parâmetros da função de transferência, utiliza-se as funcionalidades do

Matlab para processamento de sinal, e verifica-se a largura de banda do filtro pelo diagrama de Bode.

Parâmetros Filtro 1ª ordem Filtro 2ª ordem

T1 ( ) ( )

c

tgsecω

φ−φ

T2 21T

1

21

Tc ⋅ω

A0 2

12

22

2

1

1

T

T

c

c

⋅ω+

⋅ω+

21

2

22

2

1

1

T

T

cc

c

⋅ω+⋅ω

⋅ω+

Tabela 4.1 – Parâmetros da função de transferência Z(s)

O passo seguinte será determinar o valor dos componentes que constituem o filtro. Na tabela

4.2 são apresentadas as expressões de forma a ser calculado o valor dos componentes que compõem o

tipo de filtro escolhido.

1ª Ordem 2ª Ordem

Page 73: Desenvolvimento de Ambiente para Caracterização Automática ... · Página 5 Agradecimentos Os meus sinceros agradecimentos à minha orientadora, Prof. Doutora Maria Helena Fino,

Capítulo 4 – Aplicação Desenvolvida

Página 73

Componentes Filtro 1ª ordem Filtro 2ª ordem

R2 0

1A

2

2

CT

C1 - - 2

10 T

TA ⋅

C2 RT2 10 CA −

Tabela 4.2 – Valor dos componentes dos filtros

Por último, comprovam-se os resultados anteriores por utilização do simulador de circuitos

Hspice. Caso a diferença de resultados seja superior a 1% reajusta-se o valor da capacidade C2 até se

obterem os resultados desejados. Caso a largura de banda obtida por simulação no Hspice seja inferior

à largura de banda desejada, diminui-se o valor da C2 por forma a aumentar a frequência do pólo da

função de transferência e consequentemente variar a largura de banda. No caso de a largura de banda

ser menor que a pretendida, faz-se o procedimento inverso.

No próximo ponto do presente capítulo, serão apresentados alguns exemplos da aplicação

desenvolvida.

4.2.3 Exemplo – Filtro 1ª ordem

Pretende-se dimensionar um filtro passa-baixo de 1ª ordem caracterizado por uma largura de

banda de 200Mrad/s, como no caso considerado na figura 4.1. Recorrendo às equações acima

apresentadas e por simulação no software Hspice, obtiveram-se os resultados apresentados na tabela

4.3.

Matlab Hspice Erro (%) LB (Mrad/s) 200,00 199,96 0,02

Margem de fase (graus) 170,0 170,32 0,18 Tabela 4.3 – Resultados para um filtro de 1ª ordem (200 Mrad/s)

Na figura 4.6 é apresentado o output da aplicação desenvolvida no Matlab.

Page 74: Desenvolvimento de Ambiente para Caracterização Automática ... · Página 5 Agradecimentos Os meus sinceros agradecimentos à minha orientadora, Prof. Doutora Maria Helena Fino,

Capítulo 4 – Aplicação Desenvolvida

Página 74

Figura 4.6 – Output da aplicação (filtro de 1ª ordem)

Na figura 4.7 é apresentado o resultado no simulador Hspice. Os resultados da simulação

através do Hspice corroboram os resultados do modelo determinado. O erro entre resultados

apresentado na tabela 4.3 é bastante inferior a 1%, podendo ser desprezado. No anexo A encontram-se

os ficheiros de entrada e saída de simulação no Hspice.

Page 75: Desenvolvimento de Ambiente para Caracterização Automática ... · Página 5 Agradecimentos Os meus sinceros agradecimentos à minha orientadora, Prof. Doutora Maria Helena Fino,

Capítulo 4 – Aplicação Desenvolvida

Página 75

Figura 4.7 Output do simulador Hspice, filtro de 1ª ordem

O filtro de 1ª ordem apresenta uma largura de banda de 3,16 MHz, ou seja 198,5 Mrad/s, tal

como esperado.

4.2.4 Exemplo – Filtro 2ª ordem

Pretende-se dimensionar um filtro passa-baixo de 2ª ordem caracterizado por uma largura de

banda de 200Mrad/s, de forma a simular a hipótese de ser este o filtro escolhido na figura 4.1 e

realizar uma comparação de resultados com o de 1ª ordem. Recorrendo às equações acima

apresentadas e por simulação no software Hspice, obtiveram-se os resultados apresentados na tabela

4.4.

Matlab Hspice Erro (%) LB (Mrad/s) 200,00 200,30 0,15

Margem de fase (graus) 140,0 139,98 0,01 Tabela 4.4 – Resultados para um filtro de 2ª ordem (200 Mrad/s)

Na figura 4.8 é apresentado o output da aplicação desenvolvida no Matlab.

Page 76: Desenvolvimento de Ambiente para Caracterização Automática ... · Página 5 Agradecimentos Os meus sinceros agradecimentos à minha orientadora, Prof. Doutora Maria Helena Fino,

Capítulo 4 – Aplicação Desenvolvida

Página 76

Figura 4.8 – Output da aplicação (filtro de 2ª ordem)

Para o filtro de 2ª ordem, os resultados da simulação através do Hspice corroboram os

resultados do modelo determinado. Também neste exemplo, os resultados da simulação através do

Hspice corroboram os resultados do modelo determinado. Na figura 4.9 é apresentado o resultado de

simulação no simulador Hspice, verificando a Largura de Banda pretendida.

Page 77: Desenvolvimento de Ambiente para Caracterização Automática ... · Página 5 Agradecimentos Os meus sinceros agradecimentos à minha orientadora, Prof. Doutora Maria Helena Fino,

Capítulo 4 – Aplicação Desenvolvida

Página 77

Figura 4.9 – Output do simulador Hspice, filtro de 2ª ordem

4.3 VCO

Os osciladores em anel embora sejam de utilização frequente, o seu projecto constitui uma

tarefa complexa. O projecto de VCOs baseado em processos iterativos com repetidas simulações

numéricas é moroso, pois torna-se necessário proceder a simulações temporais extremamente longas

por forma a garantir a precisão do resultado obtido. As simulações numéricas são pobres relativamente

à produção de informação relativa a que parâmetros são responsáveis por determinado comportamento

do circuito. Torna-se pois, essencial o desenvolvimento de modelos de VCOs com elevado grau de

precisão. Esta precisão só é possível se os modelos forem definidos com base, não só em parâmetros

do circuito, mas também em parâmetros tecnológicos.

Neste ponto, pretende-se desenvolver um ambiente capaz de determinar um modelo linear do

comportamento do VCO, que represente com elevada precisão o comportamento real. A arquitectura

de VCO utilizada é a apresentada na secção 3.4. e proposta em [7] por J. Maneatis.

Page 78: Desenvolvimento de Ambiente para Caracterização Automática ... · Página 5 Agradecimentos Os meus sinceros agradecimentos à minha orientadora, Prof. Doutora Maria Helena Fino,

Capítulo 4 – Aplicação Desenvolvida

Página 78

4.3.1 Integração no modelo do PLL

O modelo do VCO a determinar deve satisfazer as características pedidas na interface de alto

nível. A frequência de trabalho para a qual o modelo será determinado, será a frequência média da

gama de frequências pedidas. O mesmo sucede para a tensão de controlo do VCO.

De modo a compatibilizar com o modelo do PLL considerado no capítulo 1, é desejável que se

determine uma equação linear que represente o intervalo de frequência de oscilação do VCO, sob a

forma apresentado na equação (4.13).

( ) incocoosc fVVKf +−⋅= (4.13)

Como veremos em 4.3.2, a frequência de oscilação do VCO rege-se por uma equação não

linear, justificando a necessidade de linearização do modelo.

4.3.2 VCO – Aplicação desenvolvida

Na aplicação desenvolvida considerou-se o VCO com célula de atraso apresentado na secção

3.4, como referido. Esta arquitectura foi escolhida por ser largamente utilizada e referenciada em

vários trabalhos realizados na área.

O VCO implementado é o apresentado na figura 3.7 (é composto por sete células de atraso,

com o intuito de garantir uma vasta frequência de trabalho). Um modelo do comportamento do VCO é

apresentado pela equação (4.14).

( )Ceff

TCosc VCN

VVBf

⋅⋅⋅−⋅

=2

2

(4.14)

Com efeito na derivação da equação (4.15) foi considerado o modelo do transístor MOS em

saturação dado por

( )2thGSD VVKI −⋅= (4.15)

No entanto este modelo tem limitações quando se trata de aplicações em submicro-tecnologia

e reduzida tensão de alimentação. Em [10] T. Sakurai em alternativa ao modelo quadrático de ( )gsd vI

até à data utilizado, propôs um modelo baseado nos parâmetros dos transístores MOS que designou

por “Npower model” dado pela equação (4.16)

Page 79: Desenvolvimento de Ambiente para Caracterização Automática ... · Página 5 Agradecimentos Os meus sinceros agradecimentos à minha orientadora, Prof. Doutora Maria Helena Fino,

Capítulo 4 – Aplicação Desenvolvida

Página 79

( ) ( )DSn

thGSeff

D VVVBLWI ⋅λ+⋅−⋅⋅= 1 (4.16)

Em [11] foi usado o modelo determinado por Sakurai na derivação da equação de frequência

de oscilação do VCO. Os resultados obtidos em [11] demonstram que a equação (4.17) conduz a

resultados com elevado grau de precisão, quando se trata de aplicações em submicro-tecnologia e

reduzida tensão de alimentação.

( ) ( )

effc

cn

thc

effosc CNV

VVVL

WBf⋅

⋅⎥⎥⎦

⎢⎢⎣

⎡ ⋅+−⋅=

11 λ (4.17)

De modo a ser compatível com a interface de alto nível apresentada em 4.1, a aplicação

desenvolvida permite obter os parâmetros da característica linear do VCO. O utilizador introduz a

frequência de oscilação e o intervalo da tensão de controlo desejada. Recebe como parâmetros de

saída, o ganho DC do oscilador Ko, e o valor da tensão de controlo coV .

O trabalho desenvolvido divide-se em duas partes. A primeira recorrendo ao processo de

cálculo do software Matlab, a segunda parte, utilizando o software Hspice largamente utilizado na

simulação de circuitos electrónicos. Os resultados apresentados pelo software Hspice são baseados em

ficheiros de tecnologia 1.8V SMIC018. De forma a uma melhor compreensão do trabalho, considere-

se o fluxograma da figura 4.10.

O oscilador a dimensionar será alimentado a tensão de alimentação V.Vdd 81= e será

composto por sete células de atraso. O dimensionamento do oscilador inicia-se pelos transístores

PMOS que compõem a carga, pois são estes os principais responsáveis pelo desempenho da célula.

Para uma rápida transição de estado de funcionamento dos transístores, convém terem um

comprimento de canal pequeno. Admite-se que o comprimento do canal L dos transístores de carga é

duas vezes o Lmín imposto pela tecnologia usada. A largura do canal W dos transístores de carga,

admite-se ser quinze vezes superior ao comprimento do mesmo.

Foram realizadas previamente várias simulações no Hspice para os transístores PMOS de

carga, variando L desde 0.18µm até 1.98µm e W desde 0.5µm até 50µm [11]. Com os resultados por

simulação e com o modelo de Sakurai [10] construí-se uma tabela com os parâmetros Leff, B, Vth, n e λ

que caracterizam o transístor.

Page 80: Desenvolvimento de Ambiente para Caracterização Automática ... · Página 5 Agradecimentos Os meus sinceros agradecimentos à minha orientadora, Prof. Doutora Maria Helena Fino,

Capítulo 4 – Aplicação Desenvolvida

Página 80

Figura 4.10 – Fluxograma da aplicação

Com base no ficheiro de parâmetros criado, e utilizando interpolação são determinados os

parâmetros que caracterizam os transístores de carga PMOS dimensionados, e determinam a

frequência de oscilação.

Fosc, Vcmin, Vcmax

WLoad, LLoad

(Leff, Bp, Vth, n, λ)Load

WSwitch, LSwitch WBias, LBias

Ficheiro simulação Simulação Hspice

FHspice ≅ Fosc

Diminuir WSwitch Aumentar WSwitch

K, Vco

FHspice < Fosc FHspice > Fosc

Cestimada Fosc_estimada

Fosc_estimada ≅ Fosc

Diminuir WSwitch, WBias

Fosc_estimada < Fosc

Aumentar WSwitch, WBias

Fosc_estimada > Fosc

Page 81: Desenvolvimento de Ambiente para Caracterização Automática ... · Página 5 Agradecimentos Os meus sinceros agradecimentos à minha orientadora, Prof. Doutora Maria Helena Fino,

Capítulo 4 – Aplicação Desenvolvida

Página 81

Posteriormente são determinadas as dimensões L e W dos transístores “switch” do par

diferencial e do transístor “bias” que é uma fonte de corrente simples. Os transístores do par

diferencial que funcionam como interruptores, têm um comprimento de canal L idêntico aos

transístores de carga devido à necessidade de comutaram de estado rapidamente. Estes transístores

também influenciam a oscf devido às capacidades associadas entre estes e a saída.

O grau de liberdade para fazer variar a oscf fica reduzido apenas à largura do canal W dos

transístores do par diferencial e da fonte de corrente. Estes parâmetros são ajustados iterativamente de

forma a obter por cálculo matemático uma frequência de oscilação muito próxima da pedida (erro

inferior a 1%).

Após terem sido determinados todos os valores de L e W dos transístores, recorre-se à

simulação do VCO no software Hspice. Determinada a frequência por simulação, compara-se com a

frequência introduzida pelo utilizador, se a diferença por superior a 1% reajusta-se a dimensão da

largura do canal dos transístores “switch” de forma a variar as capacidades parasitas associadas com a

saída.

Depois de dimensionados os transístores de cada célula de atraso que permitem obter a

frequência desejada, é representada a equação (4.17) que traduz a oscf para o intervalo de tensão de

controlo introduzido pelo utilizador. Pela aproximação a uma equação polinomial de 1º grau da oscf ,

é determinada a característica linear do VCO na forma da equação (4.13).

Seguidamente são apresentados três exemplos de caracterização de VCOs. Os resultados

obtidos corroboram os resultados teóricos esperados.

4.3.3 1º Exemplo – 100 MHz

Pretende-se dimensionar um VCO para uma oscf de 100MHz e para uma tensão de controlo

cV entre 0.8 e 1.2V. A tensão de controlo para qual o VCO será dimensionado, será o valor médio do

intervalo da cV . Para V.Vdd 81= , 7=N e m.Lmin µ= 180 obtiveram-se os seguintes parâmetros.

Page 82: Desenvolvimento de Ambiente para Caracterização Automática ... · Página 5 Agradecimentos Os meus sinceros agradecimentos à minha orientadora, Prof. Doutora Maria Helena Fino,

Capítulo 4 – Aplicação Desenvolvida

Página 82

Pelo Matlab para 100MHz:

Cargas (PMOS) (µm) Switch (NMOS) (µm) Bias (NMOS) (µm)

W = 5.40 L = 0.36 W = 45.04 L = 0.40 W = 42.99 L = 0.63

Tabela 4.5– Dimensões dos transístores pelo Matlab, para 100MHz

Por simulação Hspice (100Mhz):

Cargas (PMOS) (µm) Switch (NMOS) (µm) Bias (NMOS) (µm)

Weff = 5.34 Leff = 0.31 Weff = 31.63 Leff = 0.37 Weff = 43.00 Leff = 0.60

Tabela 4.6 – Dimensões dos transístores pelo Hspice, para 100MHz

Na figura 4.11 e 4.12, são apresentadas a aplicação desenvolvida com os resultados obtidos e a

simulação no simulador Hspice. Representado a pontos ‘+’ azuis está a equação (4.17), e a recta a

vermelho representa a característica linear do VCO. Segundo os valores obtidos, e pela equação (4.13)

a característica deste VCO obedece à equação (4.18)

( ) ( )MHz.Vf cosc 10001193 +−⋅= (4.18)

Figura 4.11 – Output da aplicação (100 MHz)

Page 83: Desenvolvimento de Ambiente para Caracterização Automática ... · Página 5 Agradecimentos Os meus sinceros agradecimentos à minha orientadora, Prof. Doutora Maria Helena Fino,

Capítulo 4 – Aplicação Desenvolvida

Página 83

Figura 4.12 – Output do simulador Hspice (100MHz)

A simulação pelo Hspice confirma o resultado esperado, o sinal de saída do VCO tem um

período de 10ns que corresponde a 100MHz. Na tabela 4.7 é determinado o valor da frequência de

oscilação para vários valores de tensão de controlo e na figura 4.13 estão representadas as respectivas

características.

Tensão de Controlo Vc (V)

Pela equação (4.18)(MHz)

Por simulação Hspice (MHz)

Erro (%)

0.80 61.40 59.66 2.91 0.85 71.05 70.49 0.79 0.90 80.70 81.05 0.43 0.95 90.35 91.09 0.81 1.00 100.00 99.41 0.59 1.05 109.65 110.20 0.49 1.10 119.30 119.02 0.23 1.15 128.95 123.23 4.65 1.20 138.60 134.82 2.80

Tabela 4.7 – Tabela comparativa de resultados do Hspice com a equação linear determinada

Na figura 4.13 a azul está representada a característica do VCO segundo a equação (4.18) e a

vermelho a característica segundo os resultados obtidos pelo simulador Hspice. Os resultados obtidos

são bastante razoáveis, comprovando a validade do modelo obtido com elevada precisão. Apenas nos

10ns

Page 84: Desenvolvimento de Ambiente para Caracterização Automática ... · Página 5 Agradecimentos Os meus sinceros agradecimentos à minha orientadora, Prof. Doutora Maria Helena Fino,

Capítulo 4 – Aplicação Desenvolvida

Página 84

extremos ressalta um maior desvio, pois são zonas limites da região de saturação dos transístores. O

modelo torna-se nesta situação impreciso.

Os ficheiros de entrada e saída de simulação no Hspice, encontram-se no Anexo B.

Figura 4.13 – Característica do VCO (100MHz)

4.3.4 2º Exemplo – 150 MHz

Pretende-se dimensionar um VCO para uma oscf de 150MHz e para uma tensão de controlo

cV entre 0.8 e 1.2V. A tensão de controlo para qual o VCO será dimensionado, será o valor médio do

intervalo da cV . Para V.Vdd 81= , 7=N e m.Lmin µ= 180 obtiveram-se os seguintes parâmetros.

Pelo Matlab para 150MHz:

Cargas (PMOS) (µm) Switch (NMOS) (µm) Bias (NMOS) (µm)

W = 5.40 L = 0.36 W = 44.55 L = 0.39 W = 47.25 L = 0.63

Tabela 4.8 – Dimensões dos transístores pelo Matlab, para 150MHz

Por simulação Hspice (150Mhz):

Cargas (PMOS) (µm) Switch (NMOS) (µm) Bias (NMOS) (µm)

Weff = 5.34 Leff = 0.31 Weff = 29.12 Leff = 0.36 Weff = 47.25 Leff = 0.60

Tabela 4.9 – Dimensões dos transístores pelo Hspice, para 150MHz

0.8 0.9 1 1.1 1.2 1.340

60

80

100

120

140

Fosc

(MH

z)

Vc (Volts)

Page 85: Desenvolvimento de Ambiente para Caracterização Automática ... · Página 5 Agradecimentos Os meus sinceros agradecimentos à minha orientadora, Prof. Doutora Maria Helena Fino,

Capítulo 4 – Aplicação Desenvolvida

Página 85

Na figura 4.14 e 4.15, são apresentadas a aplicação desenvolvida com os resultados obtidos e a

simulação no simulador Hspice. Representado a pontos ‘+’ azuis está a equação (4.17), e a recta a

vermelho representa a característica linear do VCO. Segundo os valores obtidos, e pela equação (4.13)

a característica deste VCO obedece à equação (4.19)

( ) ( )MHz.Vf cosc 15001291 +−⋅= (4.19)

Figura 4.14 – Output da aplicação (150 MHz)

Figura 4.15 - Output do simulador Hspice (150MHz)

6.5ns

Page 86: Desenvolvimento de Ambiente para Caracterização Automática ... · Página 5 Agradecimentos Os meus sinceros agradecimentos à minha orientadora, Prof. Doutora Maria Helena Fino,

Capítulo 4 – Aplicação Desenvolvida

Página 86

A simulação pelo Hspice confirma o resultado esperado, o sinal de saída do VCO tem um

período de 6.5ns que corresponde aproximadamente a 150MHz. Na tabela 4.10 é determinado o valor

da frequência de oscilação para vários valores de tensão de controlo e na figura 4.16 estão

representadas as respectivas características.

Tensão de Controlo Vc (V)

Pela equação (4.19)(MHz)

Por simulação Hspice(MHz)

Erro (%)

0.80 91.80 89.66 2.38 0.85 106.35 106.64 0.27 0.90 120.90 121.84 0.77 0.95 135.45 136.23 0.57 1.00 150.00 149.80 0.13 1.05 164.55 163.22 0.81 1.10 179.10 178.32 0.43 1.15 193.65 186.03 4.09 1.20 208.20 200.69 3.74

Tabela 4.10 – Tabela comparativa de resultados do Hspice com a equação linear determinada

Figura 4.16 – Característica do VCO (150MHz)

Na figura 4.16 a azul (*) está representada a característica do VCO segundo a equação (4.19) e

a vermelho (+) a característica segundo os resultados obtidos pelo simulador Hspice. Tal como no

exemplo anterior, os resultados obtidos são bastante satisfatórios, comprovando mais uma vez a

validade do modelo obtido com elevada precisão.

0.8 0.9 1 1.1 1.2 1.380

100

120

140

160

180

200

220

Vc (Volts)

Fosc

(MH

z)

Page 87: Desenvolvimento de Ambiente para Caracterização Automática ... · Página 5 Agradecimentos Os meus sinceros agradecimentos à minha orientadora, Prof. Doutora Maria Helena Fino,

Capítulo 4 – Aplicação Desenvolvida

Página 87

4.3.5 3º Exemplo – 200 MHz

Pretende-se dimensionar um VCO para uma oscf de 200MHz e para uma tensão de controlo

cV entre 0.8 e 1.2V. A tensão de controlo para qual o VCO será dimensionado, será o valor médio do

intervalo da cV . Para V.Vdd 81= , 7=N e m.Lmin µ= 180 obtiveram-se os seguintes parâmetros.

Pelo Matlab para 200MHz:

Cargas (PMOS) (µm) Switch (NMOS) (µm) Bias (NMOS) (µm)

W = 5.40 L = 0.36 W = 32.64 L = 0.39 W = 34.65 L = 0.63

Tabela 4.11 – Dimensões dos transístores pelo Matlab, para 200MHz

Por simulação Hspice (200Mhz):

Cargas (PMOS) (µm) Switch (NMOS) (µm) Bias (NMOS) (µm)

Weff = 5.34 Leff = 0.31 Weff = 20.22 Leff = 0.36 Weff = 34.65 Leff = 0.60

Tabela 4.12 – Dimensões dos transístores pelo Hspice, para 200MHz

Na figura 4.17, é apresentada a aplicação desenvolvida com os resultados obtidos.

Representado a pontos ‘+’ azuis está a equação (4.17), e a recta a vermelho representa a característica

linear do VCO. Segundo os valores obtidos, e pela equação (4.13) a característica deste VCO obedece

à equação (4.20)

( ) ( )MHz.Vf cosc 20001386 +−⋅= (4.20)

Page 88: Desenvolvimento de Ambiente para Caracterização Automática ... · Página 5 Agradecimentos Os meus sinceros agradecimentos à minha orientadora, Prof. Doutora Maria Helena Fino,

Capítulo 4 – Aplicação Desenvolvida

Página 88

Figura 4.17 – Output da aplicação (200 MHz)

Na tabela 4.12 é determinado o valor da frequência de oscilação para vários valores de tensão

de controlo e na figura 4.18 estão representadas as respectivas características.

Tensão de Controlo Vc (V)

Pela equação (4.20)(MHz)

Por simulação Hspice(MHz)

Erro (%)

0.80 122.80 119.71 2.58 0.85 142.10 141.61 0.34 0.90 161.40 161.86 0.28 0.95 180.70 181.18 0.26 1.00 200.00 198.93 0.53 1.05 219.30 218.03 0.58 1.10 238.60 234.24 1.86 1.15 257.90 240.61 7.18 1.20 277.20 263.26 5.29

Tabela 4.13 – Tabela comparativa de resultados do Hspice com a equação linear determinada

Na figura 4.18 a azul (*) está representada a característica do VCO segundo a equação (4.20) e

a vermelho (+) a característica segundo os resultados obtidos pelo simulador Hspice. Neste terceiro

Page 89: Desenvolvimento de Ambiente para Caracterização Automática ... · Página 5 Agradecimentos Os meus sinceros agradecimentos à minha orientadora, Prof. Doutora Maria Helena Fino,

Capítulo 4 – Aplicação Desenvolvida

Página 89

exemplo os resultados obtidos são igualmente satisfatórios, o modelo determinado mostra-se válido

com elevado grau de precisão.

Figura 4.18 – Característica do VCO (200MHz)

Uma das vantagens invocadas à necessidade desta aplicação, deve-se com o facto do elevado

tempo de simulação pelo simulador Hspice. Na tabela 4.14 é apresentado o tempo gasto pelo Matlab

no algoritmo de dimensionamento do VCO, e o tempo gasto pelo simulador nas diversas simulações

realizadas para o presente exemplo. O Matlab realizou o dobro das iterações em muito reduzido

tempo, na ordem das décimas de segundo. Por outro lado, para realizar 4 simulações o Hspice

demorou aproximadamente 27 segundos.

Matlab HspiceNumero de Iterações 8 4

Tempo total (seg) 0.14 27.63

Tabela 4.14 – Tempo gasto na simulação Matlab vs Hspice

A aproximação utilizada, equação (4.17), apesar de não efectuar com rigor um correcto

dimensionamento, é uma excelente aproximação tendo em conta os tempos de simulação, isto é, é de

0.8 0.9 1 1.1 1.2 1.3100

150

200

250

300

Vc (Volts)

Fosc

(MH

z)

Page 90: Desenvolvimento de Ambiente para Caracterização Automática ... · Página 5 Agradecimentos Os meus sinceros agradecimentos à minha orientadora, Prof. Doutora Maria Helena Fino,

Capítulo 4 – Aplicação Desenvolvida

Página 90

todo compensatório em termos temporais, realizar um primeiro dimensionamento recorrendo ao

modelo utilizado, e de seguida validar com recurso ao simulador Hspice.

Caso se pretenda obter a curva característica do comportamento do VCO é necessário realizar

a simulação para diversos pontos. Admitindo que para a definição de uma boa característica seja

necessária a simulação de 30 pontos, e que se pretende a simulação à temperatura ambiente, alta e

baixa temperaturas, é assim necessário proceder à simulação de 90 pontos. No caso de ser usado o

simulador Hspice o tempo necessário para completar a simulação, é de 720 segundos, ou seja, 12

minutos. Realizando a simulação utilizando o Matlab seriam necessários aproximadamente 2

segundos. O tempo dispendido pelo simulador Hspice é 350 vezes superior em comparação com o

Matlab. Justifica-se assim, a utilidade da aplicação desenvolvida.

4.4 Conclusões

Neste capítulo foram apresentadas as aplicações desenvolvidas que permitem caracterizar um

filtro de primeira ou segunda ordem e um oscilador controlado por tensão para aplicação em PLLs.

Na caracterização e dimensionamento do filtro foram apresentados dois exemplos, tendo-se

verificado a concordância dos resultados teóricos e práticos. Verificou-se que a desigualdade de

resultados teóricos e práticos apresenta um erro inferior a 1%.

Na caracterização do oscilador controlado por tensão, foram apresentados três exemplos

concretos, onde se verificou que os modelos lineares determinados, não diferem para a frequência de

operação dos valores determinados por simulação em mais de 0.6%. Menor desempenho verificou-se

para as frequências para os limites da tensão de controlo, onde se verificaram erros máximos de 7.2%.

Verificou-se que o VCO, para os exemplos expostos, possui um intervalo de frequência de oscilação

de aproximadamente 35%. Os resultados obtidos são assim, bastante aceitáveis.

As aplicações apresentadas conseguem assim, uma excelente caracterização e determinação de

modelos comportamentais válidos dos circuitos.

Page 91: Desenvolvimento de Ambiente para Caracterização Automática ... · Página 5 Agradecimentos Os meus sinceros agradecimentos à minha orientadora, Prof. Doutora Maria Helena Fino,

Capítulo 5

Conclusões

Nos últimos anos tem vindo a crescer de forma exponencial o desenvolvimento de circuitos

electrónicos em reduzida tensão. O PLL é largamente utilizado em inúmeros sistemas de

comunicação, onde o seu desempenho é um factor preponderante.

O objectivo do presente trabalho, foi desenvolver uma aplicação capaz de caracterizar

automaticamente os diversos blocos do PLL. Com os modelos utilizados para a caracterização de cada

bloco, obtiveram-se resultados bastante satisfatórios como demonstrado no capítulo anterior.

A caracterização dos diversos blocos, era geralmente feita recorrendo apenas a software de

simulação de circuitos electrónicos. Estes programas devido à sua complexidade, tornam as

simulações bastante morosas de forma a garantir resultados precisos.

Optou-se no presente trabalho por utilizar modelos matemáticos válidos de cada bloco, fazer a

caracterização recorrendo a esses modelos e por fim validá-los por simulação, conseguindo deste

modo, uma maior rapidez na sua caracterização. No caso do oscilador controlado por tensão, foi

determinado um modelo linear do seu comportamento, por forma a compatibilizar com o modelo do

PLL apresentado.

Page 92: Desenvolvimento de Ambiente para Caracterização Automática ... · Página 5 Agradecimentos Os meus sinceros agradecimentos à minha orientadora, Prof. Doutora Maria Helena Fino,

Capítulo 5 – Conclusões

Página 92

O VCO é o elemento preponderante do PLL. O modelo do VCO foi definido com base, não

apenas em parâmetros do circuito, mas também em parâmetros tecnológicos. A determinação de

modelos baseados em parâmetros tecnológicos possibilita a definição de limites de validade do

modelo para uma dada tecnologia e ainda uma rápida actualização do modelo para novas tecnologias.

Uma limitação da aplicação que caracteriza o VCO é a não validação dos limites de operação

do oscilador, assim como do modelo utilizado baseado em parâmetros tecnológicos. Poderão estas

limitações ser superadas num trabalho futuro.

Page 93: Desenvolvimento de Ambiente para Caracterização Automática ... · Página 5 Agradecimentos Os meus sinceros agradecimentos à minha orientadora, Prof. Doutora Maria Helena Fino,

Referências

[1] Dan H. Wolaver, Phase-Locked loop Circuit Design, Prentice-Hall, 1991.

[2] T. Weigandt, “Low-Phase-Noise-Timming-Jitter Design Techniques for Delay Cell based

VCOs and Frequency Synthesizes”, Dissertation submited to obtain PhD, University of

California, 1998.

[3] I. Vassiliou, “Top-Down of a Phase Locked Loop For a Video Driver System”, Dissertation

submited to obtain Master Degree, University of California.

[4] D. Banerjee, PLL Performance, Simulation, and Design, 3rd Edition, 2003.

[5] J. G. Maneatis, “Low-Jitter Process-Independent DLL and PLL Based on Self-Biased

Techniques”, IEEE J. Solid-State Circuits, vol.31, pp. 1723-1732, November 1996.

[6] H. Chen, R. Geiger, “Transfer Characterization of CMOS Ring Voltage Controlled Oscillators”,

IEEE Midwest Symposium on Circuits and Systems, vol. 1, pp. 66-70, August 2001.

[7] J. G. Maneatis, “Precise Delay Generation using Coupled Oscillators”, PhD. Thesis, June 1994.

[8] Chang-Hong, B. Kim, “A Low-Noise, 900-MHz VCO in 0.6 µm CMOS”, IEEE J. Solid-State

Circuits, vol.34, pp. 386-390, May 1999.

[9] W. Yan, H. Luong, “A 2-V 900-MHz Monolithic CMOS Dual-Loop Frequency Synthesizer for

GSM Receivers”, IEEE J. Solid-State Circuits, vol.36, pp. 204-214, February 2001.

[10] T. Sakurai, R. Newton, IEEE Transactions on Electron Devices, Vol.38, No.4, April 1991.

[11] Helena Fino, António B. Leal, Accurate Modeling of Submicron Symmetric-load Ring VCOs,

Proceeding IEEE ICED04, Veracruz, Mexico, November 2004.

[12] B. Ravazi, Design of Analog CMOS Integrated Circuits, pp 532-576, McGraw-Hill, July 2000.

[13] F. Gardner, “Charge-Pump Phase-Lock Loops”, IEEE Trans. Comm., vol. COM-28, pp. 1849-

1858, November 1980.

Page 94: Desenvolvimento de Ambiente para Caracterização Automática ... · Página 5 Agradecimentos Os meus sinceros agradecimentos à minha orientadora, Prof. Doutora Maria Helena Fino,

Página 94

[14] P. Halen, G. Boyle, “Spice-Compatible Behavioral Phase-Space Simulation Techniques for

Phase-locked Systems”, Circuits and Systems, 1995, Proceedings of the 38th Midwest

Symposium on Vol. 1, 13-16 Aug. 1995 Page(s):53 - 56 vol. 1.

[15] Intuitive Guide to Principles of Communications, www.complextoreal.com

[16] “A basic Introduction to filters – Active, Passive and Switched-Capacitors”, National

Semiconductor, 1991

[17] L. Dai, R. Harjani, “Design of Low-Phase-Noise CMOS Ring Oscillators”, IEEE Transactions

on Circuits and Systems II, vol.49, pp. 328-338, May 2002.

[18] V. Srinivasan, S. K. Islam, B. J. Blalock, “Minimizing Phase Noise Variation in CMOS Ring

Oscillators”, Kluwer Academic Publishers – Analog Integrated Circuits and Signal Precessing,

vol.34, pp. 259-263, 2003.

[19] B. Ravazi, Design of Analog CMOS Integrated Circuits, pp 482-531, McGraw-Hill, July 2000.

[20] B. Kim, D. N. Helman, P. R. Gray, “A 30-MHz Hybrid Analog/Digital Clock Recovery Circuit

in 2 µm CMOS”, IEEE journal of Solid-State Circuits, Vol. 25, Nº 6, December 1990.

[21] W. S. T. Yan, H. C. Luong, “A 900-MHz CMOS Low-Phase-Noise Voltage-Controlled Ring

Oscilator”, IEEE Transactions on Circuits and Systems II: Analog and Digital Signal

Processing, Vol. 48, pp. 216-221, February 2001.

[22] S. Docking, M Sachdev, “An Analytical Equation For the Oscillation Frequency of High-

Frequency Ring Oscillator” IEEE journal of Solid-State Circuits, Vol. 39, Nº 3, March 2004.

[23] H. Chen, R. Geiger, “Transfer Characterization of CMOS Ring Voltage Controlled Oscilators”,

44th IEEE MWSCAS, pp. 66-70, 2001

[24] B. Ravazi, “Challenges in Design of High-Speer Clock and Data Recovery Circuits”, IEEE

Communications magazine, pp. 94-101, August 2002.

Page 95: Desenvolvimento de Ambiente para Caracterização Automática ... · Página 5 Agradecimentos Os meus sinceros agradecimentos à minha orientadora, Prof. Doutora Maria Helena Fino,

Anexos

Page 96: Desenvolvimento de Ambiente para Caracterização Automática ... · Página 5 Agradecimentos Os meus sinceros agradecimentos à minha orientadora, Prof. Doutora Maria Helena Fino,

Página 96

Page 97: Desenvolvimento de Ambiente para Caracterização Automática ... · Página 5 Agradecimentos Os meus sinceros agradecimentos à minha orientadora, Prof. Doutora Maria Helena Fino,

Anexo A

Ficheiro de simulação do filtro (Hspice)

Page 98: Desenvolvimento de Ambiente para Caracterização Automática ... · Página 5 Agradecimentos Os meus sinceros agradecimentos à minha orientadora, Prof. Doutora Maria Helena Fino,

Anexo A – Ficheiro de simulação do filtro (Hspice)

Página 98

Ficheiro de entrada de simulação do filtro

.OPTIONS LIST NODE POST

.INCLUDE 'D:\MEEC\TESE\Filtro\Matlab routines\filtro\param.txt'

.OP

.AC DEC 10 1K 1000MEG

.PRINT V(1)

.PLOT ac Vdb(1)

.plot ac Vp(1)

.PZ V(1) I1

.measure ac gain max vdb(1)

*.measure ac gain_cut find when vdb(1)=0

.measure ac phase_margin find vp(1) when vdb(1)=0

*.measure bandwidth at 0 db points

.measure ac bandwidth trig vdb(1) val=0 cross=1

+ targ vdb(1) val=0 fall=1

I1 0 1 AC 1

C1 1 0 c1val

C2 2 0 c2val

R2 1 2 r2val

RX 1 0 rxval

.END

Page 99: Desenvolvimento de Ambiente para Caracterização Automática ... · Página 5 Agradecimentos Os meus sinceros agradecimentos à minha orientadora, Prof. Doutora Maria Helena Fino,

Anexo A – Ficheiro de simulação do filtro (Hspice)

Página 99

Ficheiro de saída de simulação do filtro

Init: read install configuration file: C:\synopsys\Hspice2004\meta.cfg

****** HSPICE -- V-2004.03 (20040116) 10:25:04 01/21/2005 pcnt

Copyright (C) 2004 Synopsys, Inc. All Rights Reserved.

Unpublished-rights reserved under US copyright laws.

This program is protected by law and is subject to the

terms and conditions of the license agreement found in:

C:\synopsys\Hspice2004\license.txt

Use of this program is your acceptance to be bound by this

license agreement. HSPICE is the trademark of Synopsys, Inc.

Input File: filtro.sp

lic:

lic: FLEXlm: v6.1g

lic: USER: Pedro Pereira HOSTNAME: pepe

lic: HOSTID: 0050bf56f345 PID: 2328

lic: Using FLEXlm license file:

lic: C:\WINDOWS\system32\license.dat

lic: Checkout hspicewin; Encryption code: 8D60C6061C3628F3925D

lic: License/Maintenance for hspicewin will expire on 1-jan-0/2019.12

lic: NODE LOCKED DEMO license on host 0050bf56f345

lic:

Init: read install configuration file: C:\synopsys\Hspice2004\meta.cfg

Init: hspice initialization file: C:\synopsys\Hspice2004\hspice.ini

* hspice.ini

.option post = 2

.include 'd:\meec\tese\filtro\matlab routines\filtro\param.txt'

.param c1val = 0.000000000000

.param c2val = 0.000000000869

.param r2val = 1.047

.param rxval = 1.047

.op

.ac dec 10 1k 1000meg

.print v(1)

*.plot ac vdb(1)

*.plot ac vp(1)

.pz v(1) i1

Page 100: Desenvolvimento de Ambiente para Caracterização Automática ... · Página 5 Agradecimentos Os meus sinceros agradecimentos à minha orientadora, Prof. Doutora Maria Helena Fino,

Anexo A – Ficheiro de simulação do filtro (Hspice)

Página 100

.measure ac gain max vdb(1)

*.measure ac gain_cut find when vdb(1)=0

.measure ac phase_margin find vp(1) when vdb(1)=0

*.measure bandwidth at 0 db points

.measure ac bandwidth trig vdb(1) val=0 cross=1

+ targ vdb(1) val=0 fall=1

i1 0 1 ac 1

c1 1 0 c1val

c2 2 0 c2val

r2 1 2 r2val

rx 1 0 rxval

.end

**warning** in element= 0:c1 defined in subckt 0

capacitance = 0.00 <= 0 farad, please verify it.

1 ****** HSPICE -- V-2004.03 (20040116) 10:25:04 01/21/2005 pcnt

******

.options list node post

****** operating point information tnom= 25.000 temp= 25.000

******

***** operating point status is all simulation time is 0.

node =voltage node =voltage

+0:1 = 0. 0:2 = 0.

***** current sources

subckt

element 0:i1

volts 0.

current 0.

power 0.

Page 101: Desenvolvimento de Ambiente para Caracterização Automática ... · Página 5 Agradecimentos Os meus sinceros agradecimentos à minha orientadora, Prof. Doutora Maria Helena Fino,

Anexo A – Ficheiro de simulação do filtro (Hspice)

Página 101

total current source power dissipation= 0. watts

**** resistors

subckt

element 0:r2 0:rx

r value 1.0470 1.0470

v drop 0. 0.

current 0. 0.

power 0. 0.

1 ****** HSPICE -- V-2004.03 (20040116) 10:25:04 01/21/2005 pcnt

******

.options list node post

****** pole/zero analysis tnom= 25.000 temp= 25.000

******

input = 0:i1 output = v(1

input = 0:i1 output = v(1

poles (rad/sec) poles ( hertz)

**********************************************************************

real imag real imag

-549.5454x 0. -87.4629x 0.

zeros (rad/sec) zeros ( hertz)

**********************************************************************

real imag real imag

-1.0991g 0. -174.9257x 0.

***** constant factor = 523.5000m *****

Opening plot unit= 79

file=filtro.ac0

******

.options list node post

****** ac analysis tnom= 25.000 temp= 25.000

******

Page 102: Desenvolvimento de Ambiente para Caracterização Automática ... · Página 5 Agradecimentos Os meus sinceros agradecimentos à minha orientadora, Prof. Doutora Maria Helena Fino,

Anexo A – Ficheiro de simulação do filtro (Hspice)

Página 102

x

freq voltage

1

1.00000k 1.0470

1.25893k 1.0470

1.58489k 1.0470

1.99526k 1.0470

2.51189k 1.0470

3.16228k 1.0470

3.98107k 1.0470

5.01187k 1.0470

6.30957k 1.0470

7.94328k 1.0470

10.00000k 1.0470

12.58925k 1.0470

15.84893k 1.0470

19.95262k 1.0470

25.11886k 1.0470

31.62278k 1.0470

39.81072k 1.0470

50.11872k 1.0470

63.09573k 1.0470

79.43282k 1.0470

100.00000k 1.0470

125.89254k 1.0470

158.48932k 1.0470

199.52623k 1.0470

251.18864k 1.0470

316.22777k 1.0470

398.10717k 1.0470

501.18723k 1.0470

630.95734k 1.0470

794.32823k 1.0470

1.00000x 1.0469

1.25893x 1.0469

1.58489x 1.0469

1.99526x 1.0468

Page 103: Desenvolvimento de Ambiente para Caracterização Automática ... · Página 5 Agradecimentos Os meus sinceros agradecimentos à minha orientadora, Prof. Doutora Maria Helena Fino,

Anexo A – Ficheiro de simulação do filtro (Hspice)

Página 103

2.51189x 1.0467

3.16228x 1.0465

3.98107x 1.0462

5.01187x 1.0457

6.30957x 1.0450

7.94328x 1.0438

10.00000x 1.0419

12.58925x 1.0390

15.84893x 1.0344

19.95262x 1.0274

25.11886x 1.0166

31.62278x 1.0006

39.81072x 977.2955m

50.11872x 944.9749m

63.09573x 902.6605m

79.43282x 851.2324m

100.00000x 793.9718m

125.89254x 736.0005m

158.48932x 682.6292m

199.52623x 637.6275m

251.18864x 602.4554m

316.22777x 576.6073m

398.10717x 558.4871m

501.18723x 546.2146m

630.95734x 538.1007m

794.32823x 532.8233m

1.00000g 529.4278m

y

gain= 3.9893E-01 at= 1.0000E+04

from= 1.0000E+03 to= 1.0000E+09

phase_margin= -9.6804E+00

bandwidth= 0.0000E+00 targ= 3.1824E+07 trig= 3.1824E+07

***** job concluded

1 ****** HSPICE -- V-2004.03 (20040116) 10:25:04 01/21/2005 pcnt

******

.options list node post

Page 104: Desenvolvimento de Ambiente para Caracterização Automática ... · Página 5 Agradecimentos Os meus sinceros agradecimentos à minha orientadora, Prof. Doutora Maria Helena Fino,

Anexo A – Ficheiro de simulação do filtro (Hspice)

Página 104

****** job statistics summary tnom= 25.000 temp= 25.000

******

total memory used 154 kbytes

# nodes = 3 # elements= 5

# diodes= 0 # bjts = 0 # jfets = 0 # mosfets = 0

analysis time # points tot. iter conv.iter

op point 0.11 1 3

ac analysis 0.14 61 61

readin 0.65

errchk 0.25

setup 0.04

output 0.01

total cpu time 1.29 seconds

job started at 10:25:04 01/21/2005

job ended at 10:25:08 01/21/2005

lic: Release hspicewin token(s)

Page 105: Desenvolvimento de Ambiente para Caracterização Automática ... · Página 5 Agradecimentos Os meus sinceros agradecimentos à minha orientadora, Prof. Doutora Maria Helena Fino,

Anexo B

Ficheiro de simulação do VCO (Hspice)

Page 106: Desenvolvimento de Ambiente para Caracterização Automática ... · Página 5 Agradecimentos Os meus sinceros agradecimentos à minha orientadora, Prof. Doutora Maria Helena Fino,

Anexo B – Ficheiro de simulação do VCO (Hspice)

Página 106

Ficheiro de entrada de simulação do VCO

******** Ring Oscillator *********

.protect

*Ficheiro de tecnologia -> hl49smic018mmr12_ci01.mod

.lib "D:\MEEC\TESE\VCOs\Models\hl49smic018mmr12_ci01.mod" mos_tt

.unprotect

*Parametros a passar do Matlab

.include "D:\MEEC\TESE\VCOs\paramVCO.txt"

*.include "D:\Tese\VCOs\paramVCO.txt"

******** Measures Definitions ****************

.OP ALL

.plot tran v(voutp7)

.measure tran outv1 find v(voutp7) WHEN v(voutp7)=v(voutn7) rise=4

.measure tran outv2 find v(voutp7) WHEN v(voutp7)=v(voutn7) rise=5

.measure tran outper trig v(voutp7) val=outv1 rise=4 targ v(voutp7) val=outv2 rise=5

.measure tran outfreq param='1/(outper)'

.measure tran Lef11 param='L(xdelay7.ML11)'

.measure tran Wef11 param='W(xdelay7.ML11)'

.measure tran Lef12 param='L(xdelay7.ML12)'

.measure tran Wef12 param='W(xdelay7.ML12)'

*Determina as capacidades na saida

.measure tran Cgd11 param='CGDBO(xdelay7.ML11)'

.measure tran Cdb11 param='CBDBO(xdelay7.ML11)'

.measure tran Cgd12 param='CGDBO(xdelay7.ML12)'

.measure tran Cdb12 param='CBDBO(xdelay7.ML12)'

.measure tran CgdS1 param='CGDBO(xdelay7.MS11)'

.measure tran CdbS1 param='CBDBO(xdelay7.MS11)'

******** Simulation Control ******************

*.TRAN 1NS 100NS

*performs and prints the transient analysis every 1 ns for 100 ns.

.tran t_stp t_fin

*Tensao de alimentação do circuito -> vdd

Page 107: Desenvolvimento de Ambiente para Caracterização Automática ... · Página 5 Agradecimentos Os meus sinceros agradecimentos à minha orientadora, Prof. Doutora Maria Helena Fino,

Anexo B – Ficheiro de simulação do VCO (Hspice)

Página 107

.param vdd = 1.8

*Tensao de controlo do oscilador -> Xvar

.param xvar = Vcont

******** Circuit Instantiation ****************

* Sub-circuit definition

***************************************************

.subckt DELAY vdd vbn vbp inp inn outp outn groundy

ML11 outn outn vdd vdd pmos W=w_lod L=l_lod M=m_lod

ML12 outn vbp vdd vdd pmos W=w_lod L=l_lod M=m_lod

ML21 outp outp vdd vdd pmos W=w_lod L=l_lod M=m_lod

ML22 outp vbp vdd vdd pmos W=w_lod L=l_lod M=m_lod

MS11 outn inp 1 groundy nmos W=w_swt L=l_swt M=m_swt

MS21 outp inn 1 groundy nmos W=w_swt L=l_swt M=m_swt

Mbias 1 vbn groundy groundy nmos W=w_bia L=l_bia M=m_bia

.ends

***********************************************

* Circuit instantiation

* Supplies and References

Vvdd vdd 0 vdd

Vground groundy 0 0

Vvctrl vdd vctrl xvar

*Vvctrl vctrl 0 xvar

*** Initial simulation conditions

.ic v(voutp7)=vdd

.ic v(voutn7)=0.0

* Bias Stage

Mbl1 3 3 vdd vdd pmos W=w_lod L=l_lod M=m_lod

Mbl2 3 vctrl vdd vdd pmos W=w_lod L=l_lod M=m_lod

Eoamp vx groundy 3 vctrl Max=vdd Min=0 100

Mbs1 3 vdd 2 groundy nmos W=w_swt L=l_swt M=m_swt

Mbiasx 2 vbiasn groundy groundy nmos W=w_bia L=l_bia M=m_bia

R1 vx vbiasn 10MEG

Page 108: Desenvolvimento de Ambiente para Caracterização Automática ... · Página 5 Agradecimentos Os meus sinceros agradecimentos à minha orientadora, Prof. Doutora Maria Helena Fino,

Anexo B – Ficheiro de simulação do VCO (Hspice)

Página 108

C1 vbiasn groundy 100f

xdelay1 vdd vbiasn vctrl voutn7 voutp7 voutp1 voutn1 groundy DELAY

xdelay2 vdd vbiasn vctrl voutp1 voutn1 voutp2 voutn2 groundy DELAY

xdelay3 vdd vbiasn vctrl voutp2 voutn2 voutp3 voutn3 groundy DELAY

xdelay4 vdd vbiasn vctrl voutp3 voutn3 voutp4 voutn4 groundy DELAY

xdelay5 vdd vbiasn vctrl voutp4 voutn4 voutp5 voutn5 groundy DELAY

xdelay6 vdd vbiasn vctrl voutp5 voutn5 voutp6 voutn6 groundy DELAY

xdelay7 vdd vbiasn vctrl voutp6 voutn6 voutp7 voutn7 groundy DELAY

.end

Page 109: Desenvolvimento de Ambiente para Caracterização Automática ... · Página 5 Agradecimentos Os meus sinceros agradecimentos à minha orientadora, Prof. Doutora Maria Helena Fino,

Anexo B – Ficheiro de simulação do VCO (Hspice)

Página 109

Ficheiro de saída de simulação do VCO

Init: read install configuration file: C:\synopsys\Hspice2004\meta.cfg

****** HSPICE -- V-2004.03 (20040116) 10:31:06 01/21/2005 pcnt

Copyright (C) 2004 Synopsys, Inc. All Rights Reserved.

Unpublished-rights reserved under US copyright laws.

This program is protected by law and is subject to the

terms and conditions of the license agreement found in:

C:\synopsys\Hspice2004\license.txt

Use of this program is your acceptance to be bound by this

license agreement. HSPICE is the trademark of Synopsys, Inc.

Input File: d:\meec\tese\vcos\oscillator.sp

lic:

lic: FLEXlm: v6.1g

lic: USER: Pedro Pereira HOSTNAME: pepe

lic: HOSTID: 0050bf56f345 PID: 3676

lic: Using FLEXlm license file:

lic: C:\WINDOWS\system32\license.dat

lic: Checkout hspicewin; Encryption code: 8D60C6061C3628F3925D

lic: License/Maintenance for hspicewin will expire on 1-jan-0/2019.12

lic: NODE LOCKED DEMO license on host 0050bf56f345

lic:

Init: read install configuration file: C:\synopsys\Hspice2004\meta.cfg

Init: hspice initialization file: C:\synopsys\Hspice2004\hspice.ini

* hspice.ini

.option post = 2

.include 'd:\meec\tese\vcos\paramvco.txt'

.param vcont = 1.000e+000

.param w_lod = 5.400e-006

.param l_lod = 3.600e-007

.param m_lod = 1.000e+000

.param w_swt = 3.163e-005

.param l_swt = 3.960e-007

.param m_swt = 3.000e+000

.param w_bia = 4.300e-005

Page 110: Desenvolvimento de Ambiente para Caracterização Automática ... · Página 5 Agradecimentos Os meus sinceros agradecimentos à minha orientadora, Prof. Doutora Maria Helena Fino,

Anexo B – Ficheiro de simulação do VCO (Hspice)

Página 110

.param l_bia = 6.300e-007

.param m_bia = 5.000e+000

.param t_fin = 9.958e-008

.param t_stp = 9.958e-011

******** measures definitions ****************

*.op all

*.plot tran v(voutp7)

.measure tran outv1 find v(voutp7) when v(voutp7)=v(voutn7) rise=4

.measure tran outv2 find v(voutp7) when v(voutp7)=v(voutn7) rise=5

.measure tran outper trig v(voutp7) val=outv1 rise=4 targ v(voutp7) val=outv2 rise=5

.measure tran outfreq param='1/(outper)'

.measure tran lef11 param='l(xdelay7.ml11)'

.measure tran wef11 param='w(xdelay7.ml11)'

.measure tran lef12 param='l(xdelay7.ml12)'

.measure tran wef12 param='w(xdelay7.ml12)'

*determina as capacidades na saida

.measure tran cgd11 param='cgdbo(xdelay7.ml11)'

.measure tran cdb11 param='cbdbo(xdelay7.ml11)'

.measure tran cgd12 param='cgdbo(xdelay7.ml12)'

.measure tran cdb12 param='cbdbo(xdelay7.ml12)'

.measure tran cgds1 param='cgdbo(xdelay7.ms11)'

.measure tran cdbs1 param='cbdbo(xdelay7.ms11)'

******** simulation control ******************

*.tran 1ns 100ns

*performs and prints the transient analysis every 1 ns for 100 ns.

.tran t_stp t_fin

*tensao de alimentab.o do circuito -> vdd

.param vdd = 1.8

*tensao de controlo do oscilador -> xvar

.param xvar = vcont

******** circuit instantiation ****************

* sub-circuit definition

Page 111: Desenvolvimento de Ambiente para Caracterização Automática ... · Página 5 Agradecimentos Os meus sinceros agradecimentos à minha orientadora, Prof. Doutora Maria Helena Fino,

Anexo B – Ficheiro de simulação do VCO (Hspice)

Página 111

***************************************************

.subckt delay vdd vbn vbp inp inn outp outn groundy

ml11 outn outn vdd vdd pmos w=w_lod l=l_lod m=m_lod

ml12 outn vbp vdd vdd pmos w=w_lod l=l_lod m=m_lod

ml21 outp outp vdd vdd pmos w=w_lod l=l_lod m=m_lod

ml22 outp vbp vdd vdd pmos w=w_lod l=l_lod m=m_lod

ms11 outn inp 1 groundy nmos w=w_swt l=l_swt m=m_swt

ms21 outp inn 1 groundy nmos w=w_swt l=l_swt m=m_swt

mbias 1 vbn groundy groundy nmos w=w_bia l=l_bia m=m_bia

.ends

***********************************************

* circuit instantiation

* supplies and references

vvdd vdd 0 vdd

vground groundy 0 0

vvctrl vdd vctrl xvar

*vvctrl vctrl 0 xvar

*** initial simulation conditions

.ic v(voutp7)=vdd

.ic v(voutn7)=0.0

* bias stage

mbl1 3 3 vdd vdd pmos w=w_lod l=l_lod m=m_lod

mbl2 3 vctrl vdd vdd pmos w=w_lod l=l_lod m=m_lod

eoamp vx groundy 3 vctrl max=vdd min=0 100

mbs1 3 vdd 2 groundy nmos w=w_swt l=l_swt m=m_swt

mbiasx 2 vbiasn groundy groundy nmos w=w_bia l=l_bia m=m_bia

r1 vx vbiasn 10meg

c1 vbiasn groundy 100f

xdelay1 vdd vbiasn vctrl voutn7 voutp7 voutp1 voutn1 groundy delay

xdelay2 vdd vbiasn vctrl voutp1 voutn1 voutp2 voutn2 groundy delay

xdelay3 vdd vbiasn vctrl voutp2 voutn2 voutp3 voutn3 groundy delay

Page 112: Desenvolvimento de Ambiente para Caracterização Automática ... · Página 5 Agradecimentos Os meus sinceros agradecimentos à minha orientadora, Prof. Doutora Maria Helena Fino,

Anexo B – Ficheiro de simulação do VCO (Hspice)

Página 112

xdelay4 vdd vbiasn vctrl voutp3 voutn3 voutp4 voutn4 groundy delay

xdelay5 vdd vbiasn vctrl voutp4 voutn4 voutp5 voutn5 groundy delay

xdelay6 vdd vbiasn vctrl voutp5 voutn5 voutp6 voutn6 groundy delay

xdelay7 vdd vbiasn vctrl voutp6 voutn6 voutp7 voutn7 groundy delay

.end

1 ****** HSPICE -- V-2004.03 (20040116) 10:31:06 01/21/2005 pcnt

******

******** ring oscillator *********

****** circuit name directory

******

circuit number to circuit name directory

number circuitname definition multiplier

0 main circuit

1 xdelay1. delay 1.00

2 xdelay2. delay 1.00

3 xdelay3. delay 1.00

4 xdelay4. delay 1.00

5 xdelay5. delay 1.00

6 xdelay6. delay 1.00

7 xdelay7. delay 1.00

Opening plot unit= 79

file=d:\meec\tese\vcos\oscillator.pa0

1 ****** HSPICE -- V-2004.03 (20040116) 10:31:06 01/21/2005 pcnt

******

******** ring oscillator *********

****** operating point information tnom= 25.000 temp= 25.000

******

***** operating point status is voltage simulation time is 0.

node =voltage node =voltage node =voltage

+0:2 = 793.9585m 0:3 = 804.7528m 0:groundy = 0.

+0:vbiasn = 475.2781m 0:vctrl = 800.0000m 0:vdd = 1.8000

+0:voutn1 = 1.8000 0:voutn2 = 1.8000 0:voutn3 = 1.8000

+0:voutn4 = 1.8000 0:voutn5 = 1.8000 0:voutn6 = 1.8000

+0:voutn7 = 49.9313u 0:voutp1 = 804.7528m 0:voutp2 = 804.7528m

+0:voutp3 = 804.7528m 0:voutp4 = 804.7528m 0:voutp5 = 804.7528m

Page 113: Desenvolvimento de Ambiente para Caracterização Automática ... · Página 5 Agradecimentos Os meus sinceros agradecimentos à minha orientadora, Prof. Doutora Maria Helena Fino,

Anexo B – Ficheiro de simulação do VCO (Hspice)

Página 113

+0:voutp6 = 804.7528m 0:voutp7 = 1.8000 0:vx = 475.2781m

+1:1 = 793.9573m 2:1 = 793.9585m 3:1 = 793.9585m

+4:1 = 793.9585m 5:1 = 793.9585m 6:1 = 793.9585m

+7:1 = 794.7985m

Opening plot unit= 79

file=d:\meec\tese\vcos\oscillator.tr0

******

******** ring oscillator *********

****** transient analysis tnom= 25.000 temp= 25.000

******

outv1= 1.2458E+00

outv2= 1.2477E+00

outper= 1.0059E-08 targ= 4.6082E-08 trig= 3.6023E-08

outfreq= 9.9410E+07

lef11= 3.1702E-07

wef11= 5.3476E-06

lef12= 3.1702E-07

wef12= 5.3476E-06

cgd11= -2.2440E-15

cdb11= -4.0999E-15

cgd12= -2.2456E-15

cdb12= -4.1012E-15

cgds1= -1.6333E-13

cdbs1= -1.4298E-13

***** job concluded

1 ****** HSPICE -- V-2004.03 (20040116) 10:31:06 01/21/2005 pcnt

******

******** ring oscillator *********

****** job statistics summary tnom= 25.000 temp= 25.000

******

total memory used 640 kbytes

# nodes = 135 # elements= 59

Page 114: Desenvolvimento de Ambiente para Caracterização Automática ... · Página 5 Agradecimentos Os meus sinceros agradecimentos à minha orientadora, Prof. Doutora Maria Helena Fino,

Anexo B – Ficheiro de simulação do VCO (Hspice)

Página 114

# diodes= 0 # bjts = 0 # jfets = 0 # mosfets = 53

analysis time # points tot. iter conv.iter

op point 0.03 1 21

transient 5.12 1001 3926 1151 rev= 378

readin 0.35

errchk 0.05

setup 0.01

output 0.00

total cpu time 5.62 seconds

job started at 10:31:06 01/21/2005

job ended at 10:31:14 01/21/2005

lic: Release hspicewin token(s)