LABORATÓRIO Nº 2 DE SISTEMAS DIGITAIS.docx

download LABORATÓRIO Nº 2 DE SISTEMAS DIGITAIS.docx

of 4

Transcript of LABORATÓRIO Nº 2 DE SISTEMAS DIGITAIS.docx

LABORATRIO N 2 DE SISTEMAS DIGITAISMODELAGEM DATAFLOWSISTEMAS DIGITAIS

Samara De Lima Santos Talita Santos Alves

UFSSo Cristvo

2 SBA Controle & Automao Vol. 00 no. 00 / Jan., Fev., Mar, Abril de 0000RESUMOEste trabalho tem como base os conceitos sobre modelagem Dataflow ensinados em sala de aula, assim como, definies tratadas ao longo do curso at agora. O principal objetivo demonstrar, da maneira mais simples, a utilizao da linguagem Verilog HDL em um hardware em nvel de modelagem Dataflow.A partir da implementao de mdulos conversores binrio para bcd, hexadecimal, decimal e octal; mdulos de decodificador para o display de 7 segmentos e mdulos comparadores, a simplicidade e objetividade de uma modulao em Dataflow pode ser demonstrada.PALAVRAS CHAVE: Verilog, modelagem, Dataflow, binrio, mdulos.ABSTRACTThis work is based on modeling Dataflow concepts taught in the classroom, as well as, definitions addressed throughout the course so far. The main objective is demonstrate, in the simplest way, the use of Verilog HDL language on a level hardware of the modeling Dataflow.From implementing of the converter modules, binary to bcd, hexadecimal, decimal and octal; decoder for the 7-segment display and comparator modules, the simplicity and objectivity of a Dataflow modulation modules can be demonstrated.KEYWORDS: Verilog, modeling, Dataflow, binary, modules.INTRODUOA realizao do laboratrio 2 foi possvel a partir da aplicao dos conceitos relacionados a utilizao do Verilog para descrever um hardware com a modelagem dataflow. Na quarta questo foi necessrio, inicialmente, a construo de pequenos mdulos conversores os quais foram gerados a partir de conhecimentos tericos de transformao de nmero binrio para decimal, bcd, hexadecimal e octal e do decodificador para o display de 7 segmentos, que foi refeito para que sua simplicidade em modelagem Dataflow fosse perceptvel e para que os novos objetivos fossem alcanados. Alm disso, para que a lgica inicial fosse atendida (seleo de conversor para transformar o nmero em bcd, decimal, hexadecimal ou octal) foi necessrio um sexto bloco para chamar os demais. Na stima questo foi necessrio implementar dois comparadores e portas lgicos para satisfazer a lgica da questo. Um pequeno circuito e utilizao dos operadores relacionais permitiram uma maior simplicidade na questo e consequente demonstrao da modelagem Dataflow.MDULO DECODIFICADOR DISPLAY DE 7 SEGMENTOSEsse mdulo muito importante para a apresentao dos resultados, pois, a converso binrio para hexadecimal, decimal e octal sero mostrados no mesmo. De forma geral, esse mdulo recebe um nmero de 4 bits e tem como sada um valor mostrado no display de 7 segmentos em hexadecimal, por exemplo, se a entrada for 0010, que 2 em decimal, a sada corresponde ao nmero 2 no display 7 segmentos, portanto, as sadas a,b,g,e,d devero acender. importante ressaltar que as sadas esto na ordem a b c d e f g.MDULO CONVERSOR BINRIO PARA BCDEsse mdulo converte 6 bits em binrio em dois dgitos em BCD (o primeiro dezenas e o segundo unidades). Para a construo do mesmo foi necessrio perceber a lgica da tabela verdade. Para chegar a um resultado comum para as unidades foi observado que a cada 10 nmeros era necessrio somar um determinado valor ao nmero e, portanto, considerar apenas os 4 ltimos bits. O resultado era exatamente o desejado para a unidade. Por exemplo:(001100) nmero binrio, 12 em decimal + 54 em decimal, resulta em 66 (1000010);(0010) 4 ltimos bits em binrio, 2 em decimal, que a unidade desejada.Observando o cdigo:(E >= 6'd10 && E < 6'd20)? (E + 6'd54):(E >= 6'd20 && E < 6'd30)? (E + 6'd12):(E >= 6'd30 && E < 6'd40)? (E + 6'd2):(E >= 6'd40 && E < 6'd50)? (E + 6'd24):(E >= 6'd50 && E < 6'd60)? (E + 6'd14): (E + 6'd4)Assim, para valores entre 10 e 20, soma-se 54; entre 20 e 30, soma-se 12, entre 30 e 40, soma-se 2; entre 40 e 50, soma-se 24, entre 50 e 60, soma-se 14, caso no seja verdade soma-se 4.Para o segundo digito BCD usado um condicional. Se o nmero esta entre 0 e 9, a dezena corresponde a 0000, se est em 10 e 19, a dezena corresponde a 0001 e assim sucessivamente. Os valores so mostrados no leds.MDULO CONVERSOR BINRIO PARA DECIMALEsse mdulo executa a seguinte converso: transforma uma entrada de 6 bits em binrio para um valor em decimal. Em termos de clculo o que acontece demonstrado a seguir:(001001) nmero binrio= (0x2^5) + (0x2^4) + (1x2^3) + (0x2^2) + (0x2^1) + (1x2^0)= 0 + 0 + 8 + 0 + 0 + 1= 9 nmero em decimalPara sua construo em Dataflow foi utilizado o mdulo conversor binrio para BCD, para que o resultado seja em dois nmeros, o primeiro representar a dezena e o segundo a unidade, afinal para o nmero em 6 bits o maior resultado (111111) ser 63 em decimal. Esse resultado levado para o decodificador do display. MDULO CONVERSOR BINRIO PARA HEXADECIMALEsse mdulo recebe um valor de 6 bits binrio para converter para um nmero hexadecimal de dois dgitos. Dessa forma, para cada dgito de sada atribuda uma entrada de 4 bits, sendo que, o primeiro recebe o valor dos 4 primeiros bits e o segundo a concatenao dos demais. Em termos de clculo, podemos perceber:(101001) nmero binrioConcatenao dos bits (0010.1001)0000 + 1001 = 29 em hexadecimalOs valores so mostrados no display de 7 segmentos. MDULO CONVERSOR BINRIO PARA OCTALEsse mdulo recebe 6 bits de entrada e tem como sada dgitos com 3 bits cada, que correspondem ao valor em octal. A sua converso simples, o primeiro dgito recebe os 3 primeiros bits do vetor de entrada, j o segundo os 3 dgitos restantes. Em termos de clculo:(100100) nmero binrio100 + 100 = 44 em octalO resultado mostrado no display de 7 segmentos. MDULO QUESTO 4CRIATIVIDADEA primeira foi a mudana de uma entrada de 6 bits para uma de 7 bits, ou seja, foi acrescentada a toda a lgica do programa um bit. Foi necessria a mudana de todos os mdulos conversores e mais um display foi acrescentado para o terceiro dgito.A segunda foi a utilizao de macete para o resultado BCD apresentado nos leds, nesse momento o display de 7 segmentos apresentara o seguinte resultado: - .MDULO COMPARADORMDULO QUESTO 7CRIATIVIDADEANLISE DOS RESULTADOSCONCLUSOREFERENCIAS BIBLIOGRAFICAS